>>> yosys: Building testing/yosys 0.24-r1 (using abuild 3.10.0-r0) started Thu, 22 Dec 2022 01:31:13 +0000 >>> yosys: Checking sanity of /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base bash bison boost-dev clang flex gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev (1/182) Installing readline (8.2.0-r0) (2/182) Installing bash (5.2.15-r0) Executing bash-5.2.15-r0.post-install (3/182) Installing m4 (1.4.19-r2) (4/182) Installing bison (3.8.2-r0) (5/182) Installing boost1.81-atomic (1.81.0-r0) (6/182) Installing boost1.81-chrono (1.81.0-r0) (7/182) Installing boost1.81-container (1.81.0-r0) (8/182) Installing boost1.81-context (1.81.0-r0) (9/182) Installing boost1.81-contract (1.81.0-r0) (10/182) Installing boost1.81-coroutine (1.81.0-r0) (11/182) Installing boost1.81-date_time (1.81.0-r0) (12/182) Installing boost1.81-fiber (1.81.0-r0) (13/182) Installing boost1.81-filesystem (1.81.0-r0) (14/182) Installing boost1.81-graph (1.81.0-r0) (15/182) Installing libbz2 (1.0.8-r4) (16/182) Installing xz-libs (5.4.0-r0) (17/182) Installing boost1.81-iostreams (1.81.0-r0) (18/182) Installing boost1.81-locale (1.81.0-r0) (19/182) Installing boost1.81-thread (1.81.0-r0) (20/182) Installing boost1.81-log (1.81.0-r0) (21/182) Installing boost1.81-log_setup (1.81.0-r0) (22/182) Installing boost1.81-math (1.81.0-r0) (23/182) Installing boost1.81-prg_exec_monitor (1.81.0-r0) (24/182) Installing boost1.81-program_options (1.81.0-r0) (25/182) Installing libffi (3.4.4-r0) (26/182) Installing gdbm (1.23-r0) (27/182) Installing mpdecimal (2.5.1-r1) (28/182) Installing sqlite-libs (3.40.0-r0) (29/182) Installing python3 (3.11.1-r1) (30/182) Installing boost1.81-python3 (1.81.0-r0) (31/182) Installing boost1.81-random (1.81.0-r0) (32/182) Installing boost1.81-regex (1.81.0-r0) (33/182) Installing boost1.81-serialization (1.81.0-r0) (34/182) Installing boost1.81-stacktrace_basic (1.81.0-r0) (35/182) Installing boost1.81-stacktrace_noop (1.81.0-r0) (36/182) Installing boost1.81-system (1.81.0-r0) (37/182) Installing boost1.81-timer (1.81.0-r0) (38/182) Installing boost1.81-type_erasure (1.81.0-r0) (39/182) Installing boost1.81-unit_test_framework (1.81.0-r0) (40/182) Installing boost1.81-url (1.81.0-r0) (41/182) Installing boost1.81-wave (1.81.0-r0) (42/182) Installing boost1.81-wserialization (1.81.0-r0) (43/182) Installing boost1.81-json (1.81.0-r0) (44/182) Installing boost1.81-nowide (1.81.0-r0) (45/182) Installing boost1.81-libs (1.81.0-r0) (46/182) Installing boost1.81 (1.81.0-r0) (47/182) Installing linux-headers (6.1.0-r0) (48/182) Installing bzip2-dev (1.0.8-r4) (49/182) Installing icu-data-en (72.1-r1) Executing icu-data-en-72.1-r1.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (50/182) Installing icu-libs (72.1-r1) (51/182) Installing icu (72.1-r1) (52/182) Installing icu-dev (72.1-r1) (53/182) Installing xz-dev (5.4.0-r0) (54/182) Installing zlib-dev (1.2.13-r0) (55/182) Installing zstd-dev (1.5.2-r9) (56/182) Installing boost1.81-dev (1.81.0-r0) (57/182) Installing boost-dev (1.81.0-r0) (58/182) Installing libxml2 (2.10.3-r2) (59/182) Installing llvm15-libs (15.0.6-r1) (60/182) Installing clang15-libs (15.0.6-r2) (61/182) Installing clang15 (15.0.6-r2) (62/182) Installing flex (2.6.4-r3) (63/182) Installing gawk (5.2.1-r0) (64/182) Installing cairo-tools (1.17.6-r3) (65/182) Installing libpng (1.6.39-r2) (66/182) Installing freetype (2.12.1-r0) (67/182) Installing fontconfig (2.14.1-r0) (68/182) Installing expat (2.5.0-r0) (69/182) Installing expat-dev (2.5.0-r0) (70/182) Installing brotli (1.0.9-r10) (71/182) Installing brotli-dev (1.0.9-r10) (72/182) Installing libpng-dev (1.6.39-r2) (73/182) Installing freetype-dev (2.12.1-r0) (74/182) Installing fontconfig-dev (2.14.1-r0) (75/182) Installing libxau (1.0.11-r0) (76/182) Installing xorgproto (2022.2-r0) (77/182) Installing libxau-dev (1.0.11-r0) (78/182) Installing libmd (1.0.4-r0) (79/182) Installing libbsd (0.11.7-r0) (80/182) Installing libxdmcp (1.1.4-r0) (81/182) Installing libxcb (1.15-r0) (82/182) Installing libx11 (1.8.2-r1) (83/182) Installing libxext (1.3.5-r0) (84/182) Installing xcb-proto (1.15.2-r1) (85/182) Installing libxdmcp-dev (1.1.4-r0) (86/182) Installing libxcb-dev (1.15-r0) (87/182) Installing xtrans (1.4.0-r2) (88/182) Installing libx11-dev (1.8.2-r1) (89/182) Installing libxext-dev (1.3.5-r0) (90/182) Installing libxrender (0.9.11-r0) (91/182) Installing libxrender-dev (0.9.11-r0) (92/182) Installing pixman (0.42.2-r0) (93/182) Installing pixman-dev (0.42.2-r0) (94/182) Installing util-macros (1.19.3-r0) (95/182) Installing xcb-util (0.4.1-r0) (96/182) Installing xcb-util-dev (0.4.1-r0) (97/182) Installing cairo (1.17.6-r3) (98/182) Installing libintl (0.21.1-r1) (99/182) Installing libblkid (2.38.1-r1) (100/182) Installing libmount (2.38.1-r1) (101/182) Installing glib (2.74.3-r2) (102/182) Installing cairo-gobject (1.17.6-r3) (103/182) Installing libxml2-utils (2.10.3-r2) (104/182) Installing docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-install (105/182) Installing libgpg-error (1.46-r1) (106/182) Installing libgcrypt (1.10.1-r0) (107/182) Installing libxslt (1.1.37-r0) (108/182) Installing docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-install (109/182) Installing gettext-asprintf (0.21.1-r1) (110/182) Installing libunistring (1.1-r0) (111/182) Installing gettext-libs (0.21.1-r1) (112/182) Installing gettext (0.21.1-r1) (113/182) Installing gettext-dev (0.21.1-r1) (114/182) Installing libffi-dev (3.4.4-r0) (115/182) Installing bsd-compat-headers (0.7.2-r3) (116/182) Installing ncurses-dev (6.3_p20221217-r0) (117/182) Installing libedit-dev (20221030.3.1-r0) (118/182) Installing libpcre2-16 (10.42-r0) (119/182) Installing libpcre2-32 (10.42-r0) (120/182) Installing pcre2-dev (10.42-r0) (121/182) Installing libuuid (2.38.1-r1) (122/182) Installing libfdisk (2.38.1-r1) (123/182) Installing libsmartcols (2.38.1-r1) (124/182) Installing util-linux-dev (2.38.1-r1) (125/182) Installing glib-dev (2.74.3-r2) (126/182) Installing cairo-dev (1.17.6-r3) (127/182) Installing libice (1.1.1-r0) (128/182) Installing libsm (1.2.4-r0) (129/182) Installing libxt (1.2.1-r1) (130/182) Installing libxpm (3.5.14-r0) (131/182) Installing aom-libs (3.5.0-r0) (132/182) Installing libdav1d (1.0.0-r2) (133/182) Installing libavif (0.11.1-r0) (134/182) Installing libjpeg-turbo (2.1.4-r0) (135/182) Installing libwebp (1.2.4-r1) (136/182) Installing tiff (4.5.0-r1) (137/182) Installing libgd (2.3.3-r5) (138/182) Installing gd (2.3.3-r5) (139/182) Installing perl (5.36.0-r0) (140/182) Installing libavif-dev (0.11.1-r0) (141/182) Installing libjpeg-turbo-dev (2.1.4-r0) (142/182) Installing libwebp-dev (1.2.4-r1) (143/182) Installing libtiffxx (4.5.0-r1) (144/182) Installing tiff-dev (4.5.0-r1) (145/182) Installing libxpm-dev (3.5.14-r0) (146/182) Installing gd-dev (2.3.3-r5) (147/182) Installing libgmpxx (6.2.1-r2) (148/182) Installing gmp-dev (6.2.1-r2) (149/182) Installing libice-dev (1.1.1-r0) (150/182) Installing libsm-dev (1.2.4-r0) (151/182) Installing libxft (2.3.7-r0) (152/182) Installing graphite2 (1.3.14-r2) (153/182) Installing harfbuzz (6.0.0-r0) (154/182) Installing fribidi (1.0.12-r0) (155/182) Installing pango (1.50.12-r0) (156/182) Installing pango-tools (1.50.12-r0) (157/182) Installing fribidi-dev (1.0.12-r0) (158/182) Installing harfbuzz-icu (6.0.0-r0) (159/182) Installing graphite2-dev (1.3.14-r2) (160/182) Installing harfbuzz-dev (6.0.0-r0) (161/182) Installing libxft-dev (2.3.7-r0) (162/182) Installing pango-dev (1.50.12-r0) (163/182) Installing python3-dev (3.11.1-r1) (164/182) Installing graphviz-libs (7.0.4-r0) (165/182) Installing graphviz-dev (7.0.4-r0) (166/182) Installing scudo-malloc (15.0.6-r4) (167/182) Installing lld-libs (15.0.6-r5) (168/182) Installing lld (15.0.6-r5) (169/182) Installing libprotobuf (3.21.12-r0) (170/182) Installing libprotoc (3.21.12-r0) (171/182) Installing protoc (3.21.12-r0) (172/182) Installing libprotobuf-lite (3.21.12-r0) (173/182) Installing protobuf-dev (3.21.12-r0) (174/182) Installing libhistory (8.2.0-r0) (175/182) Installing readline-dev (8.2.0-r0) (176/182) Installing tzdata (2022g-r0) (177/182) Installing tcl (8.6.13-r0) (178/182) Installing tcl-dev (8.6.13-r0) (179/182) Installing .makedepends-yosys (20221222.013114) (180/182) Installing perl-error (0.17029-r1) (181/182) Installing perl-git (2.39.0-r0) (182/182) Installing git-perl (2.39.0-r0) Executing busybox-1.35.0-r29.trigger Executing glib-2.74.3-r2.trigger No schema files found: removed existing output file. OK: 1125 MiB in 278 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.24.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 88 2596k 88 2303k 0 0 1747k 0 0:00:01 0:00:01 --:--:-- 1748k 100 2596k 100 2596k 0 0 1963k 0 0:00:01 0:00:01 --:--:-- 1962k >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.24-abc.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 3 5928k 3 191k 0 0 212k 0 0:00:27 --:--:-- 0:00:27 212k 100 5928k 100 5928k 0 0 3986k 0 0:00:01 0:00:01 --:--:-- 3986k >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.24.tar.gz >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.24-abc.tar.gz >>> yosys: Checking sha512sums... yosys-0.24.tar.gz: OK yosys-0.24-abc.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.24.tar.gz... >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.24-abc.tar.gz... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [ 0%] Building kernel/version_313b7997b50.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/binding.o [ 3%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 3%] Building kernel/satgen.o [ 4%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 4%] Building kernel/ffmerge.o [ 5%] Building kernel/ff.o [ 5%] Building kernel/fstdata.o [ 5%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 6%] Building libs/bigint/BigIntegerUtils.o [ 6%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 7%] Building libs/sha1/sha1.o [ 7%] Building libs/json11/json11.o [ 8%] Building libs/subcircuit/subcircuit.o [ 8%] Building libs/ezsat/ezsat.o [ 8%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o [ 9%] Building libs/minisat/Solver.o [ 10%] Building libs/minisat/System.o [ 10%] Building libs/fst/fstapi.o [ 10%] Building libs/fst/fastlz.o [ 11%] Building libs/fst/lz4.o [ 11%] Building frontends/aiger/aigerparse.o [ 11%] Building frontends/ast/ast.o libs/fst/fstapi.cc:5539:18: warning: variable 'secnum' set but not used [-Wunused-but-set-variable] unsigned int secnum = 0; ^ [ 12%] Building frontends/ast/simplify.o [ 12%] Building frontends/ast/genrtlil.o [ 12%] Building frontends/ast/dpicall.o [ 13%] Building frontends/ast/ast_binding.o [ 13%] Building frontends/blif/blifparse.o [ 13%] Building frontends/json/jsonparse.o [ 14%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 15%] Building frontends/verific/verific.o [ 15%] Building frontends/verilog/verilog_parser.tab.cc [ 16%] Building frontends/verilog/preproc.o [ 17%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o [ 17%] Building passes/cmds/exec.o [ 18%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o 1 warning generated. [ 18%] Building passes/cmds/design.o [ 19%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 19%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 20%] Building passes/cmds/connect.o [ 20%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 21%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 22%] Building passes/cmds/copy.o [ 22%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 23%] Building passes/cmds/glift.o [ 23%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 24%] Building passes/cmds/tee.o [ 24%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o [ 26%] Building passes/cmds/plugin.o [ 26%] Building passes/cmds/check.o [ 26%] Building passes/cmds/qwp.o [ 27%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o [ 27%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o [ 28%] Building passes/cmds/blackbox.o [ 28%] Building passes/cmds/ltp.o [ 29%] Building passes/cmds/bugpoint.o [ 29%] Building passes/cmds/scratchpad.o [ 29%] Building passes/cmds/logger.o [ 30%] Building passes/cmds/printattrs.o [ 30%] Building passes/cmds/sta.o [ 30%] Building passes/cmds/clean_zerowidth.o [ 31%] Building passes/equiv/equiv_make.o [ 31%] Building passes/equiv/equiv_miter.o [ 31%] Building passes/equiv/equiv_simple.o [ 32%] Building passes/equiv/equiv_status.o [ 32%] Building passes/equiv/equiv_add.o [ 32%] Building passes/equiv/equiv_remove.o [ 33%] Building passes/equiv/equiv_induct.o [ 33%] Building passes/equiv/equiv_struct.o [ 33%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o [ 34%] Building passes/equiv/equiv_opt.o [ 34%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 35%] Building passes/fsm/fsm_extract.o [ 35%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 36%] Building passes/fsm/fsm_recode.o [ 36%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 37%] Building passes/fsm/fsm_map.o [ 37%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 38%] Building passes/hierarchy/submod.o [ 38%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 39%] Building passes/memory/memory_share.o [ 39%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 40%] Building passes/memory/memory_bram.o [ 40%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o [ 41%] Building passes/memory/memory_narrow.o [ 42%] Building passes/memory/memory_libmap.o [ 42%] Building passes/memory/memory_bmux2rom.o [ 42%] Building passes/memory/memlib.o [ 43%] Building passes/opt/opt.o [ 43%] Building passes/opt/opt_merge.o [ 43%] Building passes/opt/opt_mem.o [ 44%] Building passes/opt/opt_mem_feedback.o [ 44%] Building passes/opt/opt_mem_priority.o [ 44%] Building passes/opt/opt_mem_widen.o [ 45%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 45%] Building passes/opt/opt_share.o [ 46%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 46%] Building passes/opt/share.o [ 47%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o [ 47%] Building passes/opt/rmports.o [ 48%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o [ 48%] Building passes/opt/opt_ffinv.o [ 49%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 50%] Building passes/pmgen/ice40_dsp.o [ 50%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_pm.h [ 50%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 51%] Building passes/pmgen/peepopt.o [ 51%] Building passes/pmgen/xilinx_srl.o [ 51%] Building passes/proc/proc.o [ 52%] Building passes/proc/proc_prune.o [ 52%] Building passes/proc/proc_clean.o [ 52%] Building passes/proc/proc_rmdead.o [ 53%] Building passes/proc/proc_init.o [ 53%] Building passes/proc/proc_arst.o [ 53%] Building passes/proc/proc_rom.o [ 54%] Building passes/proc/proc_mux.o [ 54%] Building passes/proc/proc_dlatch.o [ 54%] Building passes/proc/proc_dff.o [ 55%] Building passes/proc/proc_memwr.o [ 55%] Building passes/sat/sat.o [ 55%] Building passes/sat/freduce.o [ 56%] Building passes/sat/eval.o [ 56%] Building passes/sat/sim.o [ 56%] Building passes/sat/miter.o [ 57%] Building passes/sat/expose.o [ 57%] Building passes/sat/assertpmux.o [ 57%] Building passes/sat/clk2fflogic.o [ 58%] Building passes/sat/async2sync.o [ 58%] Building passes/sat/formalff.o [ 58%] Building passes/sat/supercover.o [ 59%] Building passes/sat/fmcombine.o [ 59%] Building passes/sat/mutate.o [ 59%] Building passes/sat/cutpoint.o [ 60%] Building passes/sat/fminit.o [ 60%] Building passes/sat/qbfsat.o [ 60%] Building passes/techmap/flatten.o [ 61%] Building passes/techmap/techmap.o [ 61%] Building passes/techmap/simplemap.o [ 61%] Building passes/techmap/dfflibmap.o [ 62%] Building passes/techmap/maccmap.o [ 62%] Building passes/techmap/libparse.o [ 62%] Building passes/techmap/abc.o [ 63%] Building passes/techmap/abc9.o [ 63%] Building passes/techmap/abc9_exe.o [ 63%] Building passes/techmap/abc9_ops.o [ 64%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 64%] Building passes/techmap/hilomap.o [ 65%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o [ 65%] Building passes/techmap/extract_counter.o [ 66%] Building passes/techmap/extract_reduce.o [ 66%] Building passes/techmap/alumacc.o [ 66%] Building passes/techmap/dffinit.o [ 67%] Building passes/techmap/pmuxtree.o [ 67%] Building passes/techmap/bmuxmap.o [ 67%] Building passes/techmap/demuxmap.o [ 68%] Building passes/techmap/muxcover.o [ 68%] Building passes/techmap/aigmap.o [ 68%] Building passes/techmap/tribuf.o [ 69%] Building passes/techmap/lut2mux.o [ 69%] Building passes/techmap/nlutmap.o [ 69%] Building passes/techmap/shregmap.o [ 70%] Building passes/techmap/deminout.o [ 70%] Building passes/techmap/insbuf.o [ 70%] Building passes/techmap/attrmvcp.o [ 71%] Building passes/techmap/attrmap.o [ 71%] Building passes/techmap/zinit.o [ 71%] Building passes/techmap/dfflegalize.o [ 72%] Building passes/techmap/dffunmap.o [ 72%] Building passes/techmap/flowmap.o [ 72%] Building passes/techmap/extractinv.o [ 73%] Building passes/tests/test_autotb.o [ 73%] Building passes/tests/test_cell.o [ 73%] Building passes/tests/test_abcloop.o [ 74%] Building backends/aiger/aiger.o [ 74%] Building backends/aiger/xaiger.o [ 74%] Building backends/blif/blif.o [ 75%] Building backends/btor/btor.o [ 75%] Building backends/cxxrtl/cxxrtl_backend.o [ 75%] Building backends/edif/edif.o [ 76%] Building backends/firrtl/firrtl.o [ 76%] Building backends/intersynth/intersynth.o [ 76%] Building backends/jny/jny.o [ 77%] Building backends/json/json.o [ 77%] Building backends/rtlil/rtlil_backend.o [ 77%] Building backends/simplec/simplec.o [ 78%] Building backends/smt2/smt2.o [ 78%] Building backends/smv/smv.o [ 78%] Building backends/spice/spice.o [ 79%] Building backends/table/table.o [ 79%] Building backends/verilog/verilog_backend.o [ 79%] Building techlibs/achronix/synth_achronix.o [ 80%] Building techlibs/anlogic/synth_anlogic.o [ 80%] Building techlibs/anlogic/anlogic_eqn.o [ 80%] Building techlibs/anlogic/anlogic_fixcarry.o [ 81%] Building techlibs/common/synth.o [ 81%] Building techlibs/common/prep.o [ 81%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 82%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 82%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 82%] Building techlibs/easic/synth_easic.o [ 83%] Building techlibs/ecp5/synth_ecp5.o [ 83%] Building techlibs/ecp5/ecp5_gsr.o [ 83%] Building techlibs/efinix/synth_efinix.o [ 84%] Building techlibs/efinix/efinix_fixcarry.o [ 84%] Building techlibs/fabulous/synth_fabulous.o [ 84%] Building techlibs/gatemate/synth_gatemate.o [ 85%] Building techlibs/gatemate/gatemate_foldinv.o [ 85%] Building techlibs/gowin/synth_gowin.o [ 85%] Building techlibs/greenpak4/synth_greenpak4.o [ 86%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 86%] Building techlibs/ice40/synth_ice40.o [ 86%] Building techlibs/ice40/ice40_braminit.o [ 87%] Building techlibs/ice40/ice40_opt.o [ 87%] Building techlibs/intel/synth_intel.o [ 87%] Building techlibs/intel_alm/synth_intel_alm.o [ 88%] Building techlibs/machxo2/synth_machxo2.o [ 88%] Building techlibs/nexus/synth_nexus.o [ 88%] Building techlibs/quicklogic/synth_quicklogic.o [ 89%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/machxo2/cells_map.v [ 99%] Building share/machxo2/cells_sim.v [ 99%] Building share/machxo2/lutrams.txt [ 99%] Building share/machxo2/lutrams_map.v [ 99%] Building share/machxo2/brams.txt [ 99%] Building share/machxo2/brams_map.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/pp3_ffs_map.v [ 99%] Building share/quicklogic/pp3_lut_map.v [ 99%] Building share/quicklogic/pp3_latches_map.v [ 99%] Building share/quicklogic/pp3_cells_map.v [ 99%] Building share/quicklogic/cells_sim.v [ 99%] Building share/quicklogic/lut_sim.v [ 99%] Building share/quicklogic/pp3_cells_sim.v [ 99%] Building share/quicklogic/abc9_model.v [ 99%] Building share/quicklogic/abc9_map.v [ 99%] Building share/quicklogic/abc9_unmap.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_313b7997b50.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 99%] Building passes/pmgen/xilinx_dsp.o [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building frontends/verilog/verilog_lexer.o frontends/verilog/verilog_parser.tab.cc:3534:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable] int yynerrs = 0; ^ frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs' #define yynerrs frontend_verilog_yynerrs ^ 1 warning generated. [ 99%] Building kernel/python_wrappers.o [100%] Building yosys [100%] Building libyosys.so Build successful. >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.11' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Stripping binaries >>> WARNING: py3-yosys*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> py3-yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> yosys*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.24-r1 yosys=0.24-r1 >>> py3-yosys*: Package size: 28.0 KB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.24-r1.apk >>> yosys-dev*: Tracing dependencies... >>> yosys-dev*: Package size: 564.0 KB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.24-r1.apk >>> yosys*: Tracing dependencies... so:libboost_filesystem.so.1.81.0 so:libboost_python311.so.1.81.0 so:libc.musl-aarch64.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.11.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 38.2 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.24-r1.apk >>> yosys: Build complete at Thu, 22 Dec 2022 01:42:38 +0000 elapsed time 0h 11m 25s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Uninstalling dependencies... (1/182) Purging .makedepends-yosys (20221222.013114) (2/182) Purging bash (5.2.15-r0) Executing bash-5.2.15-r0.pre-deinstall (3/182) Purging bison (3.8.2-r0) (4/182) Purging boost-dev (1.81.0-r0) (5/182) Purging boost1.81-dev (1.81.0-r0) (6/182) Purging boost1.81 (1.81.0-r0) (7/182) Purging boost1.81-libs (1.81.0-r0) (8/182) Purging xz-dev (5.4.0-r0) (9/182) Purging boost1.81-atomic (1.81.0-r0) (10/182) Purging boost1.81-container (1.81.0-r0) (11/182) Purging boost1.81-contract (1.81.0-r0) (12/182) Purging boost1.81-coroutine (1.81.0-r0) (13/182) Purging boost1.81-date_time (1.81.0-r0) (14/182) Purging boost1.81-fiber (1.81.0-r0) (15/182) Purging boost1.81-graph (1.81.0-r0) (16/182) Purging boost1.81-iostreams (1.81.0-r0) (17/182) Purging boost1.81-json (1.81.0-r0) (18/182) Purging boost1.81-locale (1.81.0-r0) (19/182) Purging boost1.81-log_setup (1.81.0-r0) (20/182) Purging boost1.81-math (1.81.0-r0) (21/182) Purging boost1.81-nowide (1.81.0-r0) (22/182) Purging boost1.81-prg_exec_monitor (1.81.0-r0) (23/182) Purging boost1.81-program_options (1.81.0-r0) (24/182) Purging boost1.81-python3 (1.81.0-r0) (25/182) Purging boost1.81-random (1.81.0-r0) (26/182) Purging boost1.81-regex (1.81.0-r0) (27/182) Purging boost1.81-stacktrace_basic (1.81.0-r0) (28/182) Purging boost1.81-stacktrace_noop (1.81.0-r0) (29/182) Purging boost1.81-system (1.81.0-r0) (30/182) Purging boost1.81-timer (1.81.0-r0) (31/182) Purging boost1.81-type_erasure (1.81.0-r0) (32/182) Purging boost1.81-unit_test_framework (1.81.0-r0) (33/182) Purging boost1.81-url (1.81.0-r0) (34/182) Purging boost1.81-wave (1.81.0-r0) (35/182) Purging boost1.81-wserialization (1.81.0-r0) (36/182) Purging flex (2.6.4-r3) (37/182) Purging m4 (1.4.19-r2) (38/182) Purging gawk (5.2.1-r0) (39/182) Purging graphviz-dev (7.0.4-r0) (40/182) Purging gd-dev (2.3.3-r5) (41/182) Purging gd (2.3.3-r5) (42/182) Purging libgd (2.3.3-r5) (43/182) Purging gmp-dev (6.2.1-r2) (44/182) Purging libgmpxx (6.2.1-r2) (45/182) Purging libsm-dev (1.2.4-r0) (46/182) Purging pango-dev (1.50.12-r0) (47/182) Purging pango-tools (1.50.12-r0) (48/182) Purging pango (1.50.12-r0) Executing pango-1.50.12-r0.pre-deinstall (49/182) Purging python3-dev (3.11.1-r1) (50/182) Purging graphviz-libs (7.0.4-r0) (51/182) Purging lld (15.0.6-r5) (52/182) Purging scudo-malloc (15.0.6-r4) (53/182) Purging protobuf-dev (3.21.12-r0) (54/182) Purging protoc (3.21.12-r0) (55/182) Purging libprotobuf-lite (3.21.12-r0) (56/182) Purging libprotoc (3.21.12-r0) (57/182) Purging readline-dev (8.2.0-r0) (58/182) Purging libhistory (8.2.0-r0) (59/182) Purging tcl-dev (8.6.13-r0) (60/182) Purging tcl (8.6.13-r0) (61/182) Purging tzdata (2022g-r0) (62/182) Purging git-perl (2.39.0-r0) (63/182) Purging perl-git (2.39.0-r0) (64/182) Purging perl-error (0.17029-r1) (65/182) Purging perl (5.36.0-r0) (66/182) Purging cairo-dev (1.17.6-r3) (67/182) Purging cairo-tools (1.17.6-r3) (68/182) Purging xcb-util-dev (0.4.1-r0) (69/182) Purging util-macros (1.19.3-r0) (70/182) Purging xcb-util (0.4.1-r0) (71/182) Purging cairo-gobject (1.17.6-r3) (72/182) Purging cairo (1.17.6-r3) (73/182) Purging harfbuzz-dev (6.0.0-r0) (74/182) Purging harfbuzz-icu (6.0.0-r0) (75/182) Purging harfbuzz (6.0.0-r0) (76/182) Purging glib-dev (2.74.3-r2) (77/182) Purging bzip2-dev (1.0.8-r4) (78/182) Purging docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-deinstall (79/182) Purging docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-deinstall (80/182) Purging gettext-dev (0.21.1-r1) (81/182) Purging gettext-asprintf (0.21.1-r1) (82/182) Purging gettext (0.21.1-r1) (83/182) Purging libxml2-utils (2.10.3-r2) (84/182) Purging libxslt (1.1.37-r0) (85/182) Purging python3 (3.11.1-r1) (86/182) Purging readline (8.2.0-r0) (87/182) Purging boost1.81-chrono (1.81.0-r0) (88/182) Purging boost1.81-context (1.81.0-r0) (89/182) Purging boost1.81-log (1.81.0-r0) (90/182) Purging boost1.81-filesystem (1.81.0-r0) (91/182) Purging graphite2-dev (1.3.14-r2) (92/182) Purging graphite2 (1.3.14-r2) (93/182) Purging libxft-dev (2.3.7-r0) (94/182) Purging libxft (2.3.7-r0) (95/182) Purging fontconfig-dev (2.14.1-r0) (96/182) Purging fontconfig (2.14.1-r0) (97/182) Purging freetype-dev (2.12.1-r0) (98/182) Purging freetype (2.12.1-r0) (99/182) Purging libbz2 (1.0.8-r4) (100/182) Purging clang15 (15.0.6-r2) (101/182) Purging lld-libs (15.0.6-r5) (102/182) Purging clang15-libs (15.0.6-r2) (103/182) Purging llvm15-libs (15.0.6-r1) (104/182) Purging libxml2 (2.10.3-r2) (105/182) Purging xz-libs (5.4.0-r0) (106/182) Purging boost1.81-thread (1.81.0-r0) (107/182) Purging libffi-dev (3.4.4-r0) (108/182) Purging linux-headers (6.1.0-r0) (109/182) Purging glib (2.74.3-r2) (110/182) Purging libffi (3.4.4-r0) (111/182) Purging gdbm (1.23-r0) (112/182) Purging mpdecimal (2.5.1-r1) (113/182) Purging sqlite-libs (3.40.0-r0) (114/182) Purging boost1.81-serialization (1.81.0-r0) (115/182) Purging icu-dev (72.1-r1) (116/182) Purging icu (72.1-r1) (117/182) Purging icu-libs (72.1-r1) (118/182) Purging icu-data-en (72.1-r1) (119/182) Purging pcre2-dev (10.42-r0) (120/182) Purging libedit-dev (20221030.3.1-r0) (121/182) Purging bsd-compat-headers (0.7.2-r3) (122/182) Purging ncurses-dev (6.3_p20221217-r0) (123/182) Purging libpcre2-16 (10.42-r0) (124/182) Purging libpcre2-32 (10.42-r0) (125/182) Purging tiff-dev (4.5.0-r1) (126/182) Purging libtiffxx (4.5.0-r1) (127/182) Purging tiff (4.5.0-r1) (128/182) Purging libpng-dev (1.6.39-r2) (129/182) Purging libpng (1.6.39-r2) (130/182) Purging zlib-dev (1.2.13-r0) (131/182) Purging zstd-dev (1.5.2-r9) (132/182) Purging expat-dev (2.5.0-r0) (133/182) Purging expat (2.5.0-r0) (134/182) Purging brotli-dev (1.0.9-r10) (135/182) Purging brotli (1.0.9-r10) (136/182) Purging libxpm-dev (3.5.14-r0) (137/182) Purging libxpm (3.5.14-r0) (138/182) Purging libxrender-dev (0.9.11-r0) (139/182) Purging libxrender (0.9.11-r0) (140/182) Purging libxext-dev (1.3.5-r0) (141/182) Purging libxext (1.3.5-r0) (142/182) Purging libx11-dev (1.8.2-r1) (143/182) Purging xtrans (1.4.0-r2) (144/182) Purging libxcb-dev (1.15-r0) (145/182) Purging xcb-proto (1.15.2-r1) (146/182) Purging libxau-dev (1.0.11-r0) (147/182) Purging libxt (1.2.1-r1) (148/182) Purging libx11 (1.8.2-r1) (149/182) Purging libxcb (1.15-r0) (150/182) Purging libxau (1.0.11-r0) (151/182) Purging libice-dev (1.1.1-r0) (152/182) Purging libxdmcp-dev (1.1.4-r0) (153/182) Purging libxdmcp (1.1.4-r0) (154/182) Purging xorgproto (2022.2-r0) (155/182) Purging libbsd (0.11.7-r0) (156/182) Purging libmd (1.0.4-r0) (157/182) Purging pixman-dev (0.42.2-r0) (158/182) Purging pixman (0.42.2-r0) (159/182) Purging gettext-libs (0.21.1-r1) (160/182) Purging libintl (0.21.1-r1) (161/182) Purging util-linux-dev (2.38.1-r1) (162/182) Purging libfdisk (2.38.1-r1) (163/182) Purging libmount (2.38.1-r1) (164/182) Purging libsmartcols (2.38.1-r1) (165/182) Purging libblkid (2.38.1-r1) (166/182) Purging libgcrypt (1.10.1-r0) (167/182) Purging libgpg-error (1.46-r1) (168/182) Purging libunistring (1.1-r0) (169/182) Purging libsm (1.2.4-r0) (170/182) Purging libuuid (2.38.1-r1) (171/182) Purging libice (1.1.1-r0) (172/182) Purging libavif-dev (0.11.1-r0) (173/182) Purging libavif (0.11.1-r0) (174/182) Purging aom-libs (3.5.0-r0) (175/182) Purging libdav1d (1.0.0-r2) (176/182) Purging libjpeg-turbo-dev (2.1.4-r0) (177/182) Purging libjpeg-turbo (2.1.4-r0) (178/182) Purging libwebp-dev (1.2.4-r1) (179/182) Purging libwebp (1.2.4-r1) (180/182) Purging fribidi-dev (1.0.12-r0) (181/182) Purging fribidi (1.0.12-r0) (182/182) Purging libprotobuf (3.21.12-r0) Executing busybox-1.35.0-r29.trigger OK: 406 MiB in 96 packages >>> yosys: Updating the testing/aarch64 repository index... >>> yosys: Signing the index...