>>> yosys: Building testing/yosys 0.28-r0 (using abuild 3.11.0_rc5-r0) started Sun, 16 Apr 2023 02:15:27 +0000 >>> yosys: Checking sanity of /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base bash bison boost-dev clang flex gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev (1/192) Installing readline (8.2.1-r1) (2/192) Installing bash (5.2.15-r3) Executing bash-5.2.15-r3.post-install (3/192) Installing m4 (1.4.19-r3) (4/192) Installing bison (3.8.2-r1) (5/192) Installing boost1.82-atomic (1.82.0-r0) (6/192) Installing boost1.82-chrono (1.82.0-r0) (7/192) Installing boost1.82-container (1.82.0-r0) (8/192) Installing boost1.82-context (1.82.0-r0) (9/192) Installing boost1.82-contract (1.82.0-r0) (10/192) Installing boost1.82-coroutine (1.82.0-r0) (11/192) Installing boost1.82-date_time (1.82.0-r0) (12/192) Installing boost1.82-fiber (1.82.0-r0) (13/192) Installing boost1.82-filesystem (1.82.0-r0) (14/192) Installing boost1.82-graph (1.82.0-r0) (15/192) Installing libbz2 (1.0.8-r5) (16/192) Installing xz-libs (5.4.2-r1) (17/192) Installing boost1.82-iostreams (1.82.0-r0) (18/192) Installing boost1.82-thread (1.82.0-r0) (19/192) Installing icu-data-en (72.1-r3) Executing icu-data-en-72.1-r3.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (20/192) Installing icu-libs (72.1-r3) (21/192) Installing boost1.82-locale (1.82.0-r0) (22/192) Installing boost1.82-log (1.82.0-r0) (23/192) Installing boost1.82-log_setup (1.82.0-r0) (24/192) Installing boost1.82-math (1.82.0-r0) (25/192) Installing boost1.82-prg_exec_monitor (1.82.0-r0) (26/192) Installing boost1.82-program_options (1.82.0-r0) (27/192) Installing libffi (3.4.4-r2) (28/192) Installing gdbm (1.23-r1) (29/192) Installing mpdecimal (2.5.1-r2) (30/192) Installing libpanelw (6.4_p20230401-r4) (31/192) Installing sqlite-libs (3.41.2-r2) (32/192) Installing python3 (3.11.3-r4) (33/192) Installing python3-pycache-pyc0 (3.11.3-r4) (34/192) Installing boost1.82-python3 (1.82.0-r0) (35/192) Installing boost1.82-random (1.82.0-r0) (36/192) Installing boost1.82-regex (1.82.0-r0) (37/192) Installing boost1.82-serialization (1.82.0-r0) (38/192) Installing boost1.82-stacktrace_basic (1.82.0-r0) (39/192) Installing boost1.82-stacktrace_noop (1.82.0-r0) (40/192) Installing boost1.82-system (1.82.0-r0) (41/192) Installing boost1.82-timer (1.82.0-r0) (42/192) Installing boost1.82-type_erasure (1.82.0-r0) (43/192) Installing boost1.82-unit_test_framework (1.82.0-r0) (44/192) Installing boost1.82-url (1.82.0-r0) (45/192) Installing boost1.82-wave (1.82.0-r0) (46/192) Installing boost1.82-wserialization (1.82.0-r0) (47/192) Installing boost1.82-json (1.82.0-r0) (48/192) Installing boost1.82-nowide (1.82.0-r0) (49/192) Installing boost1.82-libs (1.82.0-r0) (50/192) Installing boost1.82 (1.82.0-r0) (51/192) Installing linux-headers (6.2-r0) (52/192) Installing bzip2-dev (1.0.8-r5) (53/192) Installing icu (72.1-r3) (54/192) Installing icu-dev (72.1-r3) (55/192) Installing xz (5.4.2-r1) (56/192) Installing xz-dev (5.4.2-r1) (57/192) Installing zlib-dev (1.2.13-r1) (58/192) Installing zstd (1.5.5-r1) (59/192) Installing zstd-dev (1.5.5-r1) (60/192) Installing boost1.82-dev (1.82.0-r0) (61/192) Installing boost-dev (1.82.0-r0) (62/192) Installing libxml2 (2.10.4-r1) (63/192) Installing llvm16-libs (16.0.1-r1) (64/192) Installing clang16-libs (16.0.1-r1) (65/192) Installing clang16-libclang (16.0.1-r1) (66/192) Installing clang16 (16.0.1-r1) (67/192) Installing flex (2.6.4-r5) (68/192) Installing gawk (5.2.1-r2) (69/192) Installing cairo-tools (1.17.8-r1) (70/192) Installing libintl (0.21.1-r3) (71/192) Installing libblkid (2.38.1-r7) (72/192) Installing libmount (2.38.1-r7) (73/192) Installing glib (2.76.1-r1) (74/192) Installing graphite2 (1.3.14-r5) (75/192) Installing harfbuzz (7.1.0-r1) (76/192) Installing libpng (1.6.39-r3) (77/192) Installing freetype (2.13.0-r3) (78/192) Installing fontconfig (2.14.2-r1) (79/192) Installing expat (2.5.0-r1) (80/192) Installing expat-dev (2.5.0-r1) (81/192) Installing libxau (1.0.11-r1) (82/192) Installing libmd (1.0.4-r2) (83/192) Installing libbsd (0.11.7-r1) (84/192) Installing libxdmcp (1.1.4-r1) (85/192) Installing libxcb (1.15-r1) (86/192) Installing libx11 (1.8.4-r2) (87/192) Installing libxext (1.3.5-r1) (88/192) Installing libxrender (0.9.11-r2) (89/192) Installing pixman (0.42.2-r1) (90/192) Installing cairo (1.17.8-r1) (91/192) Installing harfbuzz-cairo (7.1.0-r1) (92/192) Installing harfbuzz-gobject (7.1.0-r1) (93/192) Installing harfbuzz-icu (7.1.0-r1) (94/192) Installing harfbuzz-subset (7.1.0-r1) (95/192) Installing libxml2-utils (2.10.4-r1) (96/192) Installing docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-install (97/192) Installing libgpg-error (1.47-r1) (98/192) Installing libgcrypt (1.10.2-r1) (99/192) Installing libxslt (1.1.37-r3) (100/192) Installing docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-install (101/192) Installing gettext-asprintf (0.21.1-r3) (102/192) Installing gettext-libs (0.21.1-r3) (103/192) Installing gettext (0.21.1-r3) (104/192) Installing gettext-dev (0.21.1-r3) (105/192) Installing libffi-dev (3.4.4-r2) (106/192) Installing bsd-compat-headers (0.7.2-r4) (107/192) Installing libformw (6.4_p20230401-r4) (108/192) Installing libmenuw (6.4_p20230401-r4) (109/192) Installing libncurses++ (6.4_p20230401-r4) (110/192) Installing ncurses-dev (6.4_p20230401-r4) (111/192) Installing libedit-dev (20221030.3.1-r1) (112/192) Installing libpcre2-16 (10.42-r1) (113/192) Installing libpcre2-32 (10.42-r1) (114/192) Installing pcre2-dev (10.42-r1) (115/192) Installing libuuid (2.38.1-r7) (116/192) Installing libfdisk (2.38.1-r7) (117/192) Installing libsmartcols (2.38.1-r7) (118/192) Installing util-linux-dev (2.38.1-r7) (119/192) Installing glib-dev (2.76.1-r1) (120/192) Installing graphite2-dev (1.3.14-r5) (121/192) Installing harfbuzz-dev (7.1.0-r1) (122/192) Installing brotli (1.0.9-r12) (123/192) Installing brotli-dev (1.0.9-r12) (124/192) Installing libpng-dev (1.6.39-r3) (125/192) Installing freetype-dev (2.13.0-r3) (126/192) Installing fontconfig-dev (2.14.2-r1) (127/192) Installing xorgproto (2022.2-r0) (128/192) Installing libxau-dev (1.0.11-r1) (129/192) Installing xcb-proto (1.15.2-r1) (130/192) Installing libxdmcp-dev (1.1.4-r1) (131/192) Installing libxcb-dev (1.15-r1) (132/192) Installing xtrans (1.4.0-r2) (133/192) Installing libx11-dev (1.8.4-r2) (134/192) Installing libxext-dev (1.3.5-r1) (135/192) Installing libxrender-dev (0.9.11-r2) (136/192) Installing pixman-dev (0.42.2-r1) (137/192) Installing util-macros (1.20.0-r0) (138/192) Installing xcb-util (0.4.1-r2) (139/192) Installing xcb-util-dev (0.4.1-r2) (140/192) Installing cairo-gobject (1.17.8-r1) (141/192) Installing cairo-dev (1.17.8-r1) (142/192) Installing libice (1.1.1-r1) (143/192) Installing libsm (1.2.4-r1) (144/192) Installing libxt (1.3.0-r1) (145/192) Installing libxpm (3.5.15-r2) (146/192) Installing aom-libs (3.6.0-r2) (147/192) Installing libdav1d (1.1.0-r1) (148/192) Installing libavif (0.11.1-r1) (149/192) Installing libjpeg-turbo (2.1.5.1-r2) (150/192) Installing libwebp (1.3.0-r1) (151/192) Installing tiff (4.5.0-r6) (152/192) Installing libgd (2.3.3-r7) (153/192) Installing gd (2.3.3-r7) (154/192) Installing perl (5.36.0-r2) (155/192) Installing libavif-dev (0.11.1-r1) (156/192) Installing libjpeg-turbo-dev (2.1.5.1-r2) (157/192) Installing libtiffxx (4.5.0-r6) (158/192) Installing libwebp-dev (1.3.0-r1) (159/192) Installing tiff-dev (4.5.0-r6) (160/192) Installing libxpm-dev (3.5.15-r2) (161/192) Installing gd-dev (2.3.3-r7) (162/192) Installing libgmpxx (6.2.1-r3) (163/192) Installing gmp-dev (6.2.1-r3) (164/192) Installing libice-dev (1.1.1-r1) (165/192) Installing libsm-dev (1.2.4-r1) (166/192) Installing libxft (2.3.7-r1) (167/192) Installing fribidi (1.0.12-r2) (168/192) Installing pango (1.50.14-r1) (169/192) Installing pango-tools (1.50.14-r1) (170/192) Installing fribidi-dev (1.0.12-r2) (171/192) Installing libxft-dev (2.3.7-r1) (172/192) Installing pango-dev (1.50.14-r1) (173/192) Installing python3-dev (3.11.3-r4) (174/192) Installing graphviz-libs (8.0.2-r1) (175/192) Installing graphviz-dev (8.0.2-r1) (176/192) Installing scudo-malloc (16.0.1-r1) (177/192) Installing lld-libs (16.0.1-r1) (178/192) Installing lld (16.0.1-r1) (179/192) Installing libprotobuf (3.21.12-r1) (180/192) Installing libprotoc (3.21.12-r1) (181/192) Installing protoc (3.21.12-r1) (182/192) Installing libprotobuf-lite (3.21.12-r1) (183/192) Installing protobuf-dev (3.21.12-r1) (184/192) Installing libhistory (8.2.1-r1) (185/192) Installing readline-dev (8.2.1-r1) (186/192) Installing tzdata (2023c-r1) (187/192) Installing tcl (8.6.13-r1) (188/192) Installing tcl-dev (8.6.13-r1) (189/192) Installing .makedepends-yosys (20230416.021528) (190/192) Installing perl-error (0.17029-r1) (191/192) Installing perl-git (2.40.0-r1) (192/192) Installing git-perl (2.40.0-r1) Executing busybox-1.36.0-r7.trigger Executing glib-2.76.1-r1.trigger No schema files found: removed existing output file. OK: 1160 MiB in 291 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.28.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 146 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 curl: (22) The requested URL returned error: 404 >>> yosys: Fetching https://github.com/YosysHQ/yosys/archive/refs/tags/yosys-0.28.tar.gz >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.28-abc.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 146 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 curl: (22) The requested URL returned error: 404 >>> yosys: Fetching yosys-0.28-abc.tar.gz::https://github.com/YosysHQ/yosys/releases/download/yosys-0.28/abc.tar.gz >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.28.tar.gz >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.28-abc.tar.gz >>> yosys: Checking sha512sums... yosys-0.28.tar.gz: OK yosys-0.28-abc.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.28.tar.gz... >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.28-abc.tar.gz... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [ 0%] Building kernel/version_0d6f4b06833.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/binding.o [ 3%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 3%] Building kernel/satgen.o [ 4%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 4%] Building kernel/ffmerge.o [ 5%] Building kernel/ff.o [ 5%] Building kernel/yw.o [ 5%] Building kernel/json.o [ 6%] Building kernel/fstdata.o [ 6%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 7%] Building libs/bigint/BigIntegerUtils.o [ 7%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 8%] Building libs/sha1/sha1.o [ 8%] Building libs/json11/json11.o [ 8%] Building libs/subcircuit/subcircuit.o [ 9%] Building libs/ezsat/ezsat.o [ 9%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 10%] Building libs/minisat/SimpSolver.o [ 10%] Building libs/minisat/Solver.o [ 10%] Building libs/minisat/System.o [ 11%] Building libs/fst/fstapi.o [ 11%] Building libs/fst/fastlz.o [ 11%] Building libs/fst/lz4.o [ 11%] Building frontends/aiger/aigerparse.o libs/fst/fstapi.cc:5539:18: warning: variable 'secnum' set but not used [-Wunused-but-set-variable] unsigned int secnum = 0; ^ [ 12%] Building frontends/ast/ast.o [ 12%] Building frontends/ast/simplify.o [ 12%] Building frontends/ast/genrtlil.o [ 13%] Building frontends/ast/dpicall.o [ 13%] Building frontends/ast/ast_binding.o [ 13%] Building frontends/blif/blifparse.o [ 14%] Building frontends/json/jsonparse.o 1 warning generated. [ 14%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 16%] Building frontends/verific/verific.o [ 16%] Building frontends/verilog/verilog_parser.tab.cc [ 17%] Building frontends/verilog/preproc.o [ 17%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o [ 18%] Building passes/cmds/exec.o [ 18%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o [ 19%] Building passes/cmds/design.o [ 19%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 20%] Building passes/cmds/viz.o [ 20%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 21%] Building passes/cmds/connect.o [ 21%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 22%] Building passes/cmds/splitnets.o [ 22%] Building passes/cmds/splitcells.o [ 22%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 23%] Building passes/cmds/copy.o [ 23%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 24%] Building passes/cmds/glift.o [ 24%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 25%] Building passes/cmds/tee.o [ 25%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 26%] Building passes/cmds/cover.o [ 26%] Building passes/cmds/trace.o [ 26%] Building passes/cmds/plugin.o [ 27%] Building passes/cmds/check.o [ 27%] Building passes/cmds/qwp.o [ 27%] Building passes/cmds/edgetypes.o [ 28%] Building passes/cmds/portlist.o [ 28%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o [ 29%] Building passes/cmds/blackbox.o [ 29%] Building passes/cmds/ltp.o [ 29%] Building passes/cmds/bugpoint.o [ 30%] Building passes/cmds/scratchpad.o [ 30%] Building passes/cmds/logger.o [ 30%] Building passes/cmds/printattrs.o [ 31%] Building passes/cmds/sta.o [ 31%] Building passes/cmds/clean_zerowidth.o [ 31%] Building passes/cmds/xprop.o [ 32%] Building passes/equiv/equiv_make.o [ 32%] Building passes/equiv/equiv_miter.o [ 32%] Building passes/equiv/equiv_simple.o [ 33%] Building passes/equiv/equiv_status.o [ 33%] Building passes/equiv/equiv_add.o [ 33%] Building passes/equiv/equiv_remove.o [ 33%] Building passes/equiv/equiv_induct.o [ 34%] Building passes/equiv/equiv_struct.o [ 34%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o [ 35%] Building passes/equiv/equiv_opt.o [ 35%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 36%] Building passes/fsm/fsm_extract.o [ 36%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 37%] Building passes/fsm/fsm_recode.o [ 37%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 38%] Building passes/fsm/fsm_map.o [ 38%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 39%] Building passes/hierarchy/submod.o [ 39%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 40%] Building passes/memory/memory_share.o [ 40%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 41%] Building passes/memory/memory_bram.o [ 41%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o [ 42%] Building passes/memory/memory_nordff.o [ 42%] Building passes/memory/memory_narrow.o [ 42%] Building passes/memory/memory_libmap.o [ 43%] Building passes/memory/memory_bmux2rom.o [ 43%] Building passes/memory/memlib.o [ 43%] Building passes/opt/opt.o [ 44%] Building passes/opt/opt_merge.o [ 44%] Building passes/opt/opt_mem.o [ 44%] Building passes/opt/opt_mem_feedback.o [ 45%] Building passes/opt/opt_mem_priority.o [ 45%] Building passes/opt/opt_mem_widen.o [ 45%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 46%] Building passes/opt/opt_dff.o [ 46%] Building passes/opt/opt_share.o [ 46%] Building passes/opt/opt_clean.o [ 47%] Building passes/opt/opt_expr.o [ 47%] Building passes/opt/share.o [ 47%] Building passes/opt/wreduce.o [ 48%] Building passes/opt/opt_demorgan.o [ 48%] Building passes/opt/rmports.o [ 48%] Building passes/opt/opt_lut.o [ 49%] Building passes/opt/opt_lut_ins.o [ 49%] Building passes/opt/opt_ffinv.o [ 49%] Building passes/opt/pmux2shiftx.o [ 50%] Building passes/opt/muxpack.o [ 50%] Building passes/pmgen/test_pmgen_pm.h [ 50%] Building passes/pmgen/ice40_dsp_pm.h [ 50%] Building passes/pmgen/peepopt_pm.h [ 50%] Building passes/pmgen/xilinx_srl_pm.h [ 50%] Building passes/pmgen/ice40_dsp.o [ 50%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_pm.h [ 50%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 51%] Building passes/pmgen/peepopt.o [ 52%] Building passes/pmgen/xilinx_srl.o [ 52%] Building passes/proc/proc.o [ 52%] Building passes/proc/proc_prune.o [ 53%] Building passes/proc/proc_clean.o [ 53%] Building passes/proc/proc_rmdead.o [ 53%] Building passes/proc/proc_init.o [ 54%] Building passes/proc/proc_arst.o [ 54%] Building passes/proc/proc_rom.o [ 54%] Building passes/proc/proc_mux.o [ 55%] Building passes/proc/proc_dlatch.o [ 55%] Building passes/proc/proc_dff.o [ 55%] Building passes/proc/proc_memwr.o [ 56%] Building passes/sat/sat.o [ 56%] Building passes/sat/freduce.o [ 56%] Building passes/sat/eval.o [ 56%] Building passes/sat/sim.o [ 57%] Building passes/sat/miter.o [ 57%] Building passes/sat/expose.o [ 57%] Building passes/sat/assertpmux.o [ 58%] Building passes/sat/clk2fflogic.o [ 58%] Building passes/sat/async2sync.o [ 58%] Building passes/sat/formalff.o [ 59%] Building passes/sat/supercover.o [ 59%] Building passes/sat/fmcombine.o [ 59%] Building passes/sat/mutate.o [ 60%] Building passes/sat/cutpoint.o [ 60%] Building passes/sat/fminit.o [ 60%] Building passes/sat/qbfsat.o [ 61%] Building passes/techmap/flatten.o [ 61%] Building passes/techmap/techmap.o [ 61%] Building passes/techmap/simplemap.o [ 62%] Building passes/techmap/dfflibmap.o [ 62%] Building passes/techmap/maccmap.o [ 62%] Building passes/techmap/libparse.o [ 63%] Building passes/techmap/abc.o [ 63%] Building passes/techmap/abc9.o [ 63%] Building passes/techmap/abc9_exe.o [ 64%] Building passes/techmap/abc9_ops.o [ 64%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 65%] Building passes/techmap/hilomap.o [ 65%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o [ 66%] Building passes/techmap/extract_counter.o [ 66%] Building passes/techmap/extract_reduce.o [ 66%] Building passes/techmap/alumacc.o [ 67%] Building passes/techmap/dffinit.o [ 67%] Building passes/techmap/pmuxtree.o [ 67%] Building passes/techmap/bmuxmap.o [ 67%] Building passes/techmap/demuxmap.o [ 68%] Building passes/techmap/bwmuxmap.o [ 68%] Building passes/techmap/muxcover.o [ 68%] Building passes/techmap/aigmap.o [ 69%] Building passes/techmap/tribuf.o [ 69%] Building passes/techmap/lut2mux.o [ 69%] Building passes/techmap/nlutmap.o [ 70%] Building passes/techmap/shregmap.o [ 70%] Building passes/techmap/deminout.o [ 70%] Building passes/techmap/insbuf.o [ 71%] Building passes/techmap/attrmvcp.o [ 71%] Building passes/techmap/attrmap.o [ 71%] Building passes/techmap/zinit.o [ 72%] Building passes/techmap/dfflegalize.o [ 72%] Building passes/techmap/dffunmap.o [ 72%] Building passes/techmap/flowmap.o [ 73%] Building passes/techmap/extractinv.o [ 73%] Building passes/tests/test_autotb.o [ 73%] Building passes/tests/test_cell.o [ 74%] Building passes/tests/test_abcloop.o [ 74%] Building backends/aiger/aiger.o [ 74%] Building backends/aiger/xaiger.o [ 75%] Building backends/blif/blif.o [ 75%] Building backends/btor/btor.o [ 75%] Building backends/cxxrtl/cxxrtl_backend.o [ 76%] Building backends/edif/edif.o [ 76%] Building backends/firrtl/firrtl.o [ 76%] Building backends/intersynth/intersynth.o [ 77%] Building backends/jny/jny.o [ 77%] Building backends/json/json.o [ 77%] Building backends/rtlil/rtlil_backend.o [ 78%] Building backends/simplec/simplec.o [ 78%] Building backends/smt2/smt2.o [ 78%] Building backends/smv/smv.o [ 78%] Building backends/spice/spice.o [ 79%] Building backends/table/table.o [ 79%] Building backends/verilog/verilog_backend.o [ 79%] Building techlibs/achronix/synth_achronix.o [ 80%] Building techlibs/anlogic/synth_anlogic.o [ 80%] Building techlibs/anlogic/anlogic_eqn.o [ 80%] Building techlibs/anlogic/anlogic_fixcarry.o [ 81%] Building techlibs/common/synth.o [ 81%] Building techlibs/common/prep.o [ 81%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 82%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 82%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 82%] Building techlibs/easic/synth_easic.o [ 83%] Building techlibs/ecp5/synth_ecp5.o [ 83%] Building techlibs/ecp5/ecp5_gsr.o [ 83%] Building techlibs/efinix/synth_efinix.o [ 84%] Building techlibs/efinix/efinix_fixcarry.o [ 84%] Building techlibs/fabulous/synth_fabulous.o [ 84%] Building techlibs/gatemate/synth_gatemate.o [ 85%] Building techlibs/gatemate/gatemate_foldinv.o [ 85%] Building techlibs/gowin/synth_gowin.o [ 85%] Building techlibs/greenpak4/synth_greenpak4.o [ 86%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 86%] Building techlibs/ice40/synth_ice40.o [ 86%] Building techlibs/ice40/ice40_braminit.o [ 87%] Building techlibs/ice40/ice40_opt.o [ 87%] Building techlibs/intel/synth_intel.o [ 87%] Building techlibs/intel_alm/synth_intel_alm.o [ 88%] Building techlibs/machxo2/synth_machxo2.o [ 88%] Building techlibs/nexus/synth_nexus.o [ 88%] Building techlibs/quicklogic/synth_quicklogic.o [ 89%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/machxo2/cells_io.vh [ 99%] Building share/machxo2/cells_map.v [ 99%] Building share/machxo2/cells_sim.v [ 99%] Building share/machxo2/cells_bb.v [ 99%] Building share/machxo2/lutrams.txt [ 99%] Building share/machxo2/lutrams_map.v [ 99%] Building share/machxo2/brams.txt [ 99%] Building share/machxo2/brams_map.v [ 99%] Building share/machxo2/arith_map.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/pp3_ffs_map.v [ 99%] Building share/quicklogic/pp3_lut_map.v [ 99%] Building share/quicklogic/pp3_latches_map.v [ 99%] Building share/quicklogic/pp3_cells_map.v [ 99%] Building share/quicklogic/cells_sim.v [ 99%] Building share/quicklogic/lut_sim.v [ 99%] Building share/quicklogic/pp3_cells_sim.v [ 99%] Building share/quicklogic/abc9_model.v [ 99%] Building share/quicklogic/abc9_map.v [ 99%] Building share/quicklogic/abc9_unmap.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_0d6f4b06833.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 99%] Building passes/pmgen/xilinx_dsp.o [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building frontends/verilog/verilog_lexer.o frontends/verilog/verilog_parser.tab.cc:3545:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable] int yynerrs = 0; ^ frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs' #define yynerrs frontend_verilog_yynerrs ^ 1 warning generated. [ 99%] Building kernel/python_wrappers.o [100%] Building yosys [100%] Building libyosys.so Build successful. >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.11' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> py3-yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> yosys*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.28-r0 yosys=0.28-r0 >>> py3-yosys*: Package size: 28.0 KB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.28-r0.apk >>> yosys-dev*: Tracing dependencies... >>> yosys-dev*: Package size: 560.0 KB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.28-r0.apk >>> yosys*: Tracing dependencies... so:libboost_filesystem.so.1.82.0 so:libboost_python311.so.1.82.0 so:libc.musl-aarch64.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.11.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 39.1 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.28-r0.apk >>> yosys: Build complete at Sun, 16 Apr 2023 02:19:53 +0000 elapsed time 0h 4m 26s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Uninstalling dependencies... (1/192) Purging .makedepends-yosys (20230416.021528) (2/192) Purging bash (5.2.15-r3) Executing bash-5.2.15-r3.pre-deinstall (3/192) Purging bison (3.8.2-r1) (4/192) Purging boost-dev (1.82.0-r0) (5/192) Purging boost1.82-dev (1.82.0-r0) (6/192) Purging boost1.82 (1.82.0-r0) (7/192) Purging xz-dev (5.4.2-r1) (8/192) Purging xz (5.4.2-r1) (9/192) Purging boost1.82-libs (1.82.0-r0) (10/192) Purging boost1.82-atomic (1.82.0-r0) (11/192) Purging boost1.82-container (1.82.0-r0) (12/192) Purging boost1.82-contract (1.82.0-r0) (13/192) Purging boost1.82-coroutine (1.82.0-r0) (14/192) Purging boost1.82-date_time (1.82.0-r0) (15/192) Purging boost1.82-fiber (1.82.0-r0) (16/192) Purging boost1.82-graph (1.82.0-r0) (17/192) Purging boost1.82-iostreams (1.82.0-r0) (18/192) Purging boost1.82-json (1.82.0-r0) (19/192) Purging boost1.82-locale (1.82.0-r0) (20/192) Purging boost1.82-log_setup (1.82.0-r0) (21/192) Purging boost1.82-math (1.82.0-r0) (22/192) Purging boost1.82-nowide (1.82.0-r0) (23/192) Purging boost1.82-prg_exec_monitor (1.82.0-r0) (24/192) Purging boost1.82-program_options (1.82.0-r0) (25/192) Purging boost1.82-python3 (1.82.0-r0) (26/192) Purging boost1.82-random (1.82.0-r0) (27/192) Purging boost1.82-regex (1.82.0-r0) (28/192) Purging boost1.82-stacktrace_basic (1.82.0-r0) (29/192) Purging boost1.82-stacktrace_noop (1.82.0-r0) (30/192) Purging boost1.82-system (1.82.0-r0) (31/192) Purging boost1.82-timer (1.82.0-r0) (32/192) Purging boost1.82-type_erasure (1.82.0-r0) (33/192) Purging boost1.82-unit_test_framework (1.82.0-r0) (34/192) Purging boost1.82-url (1.82.0-r0) (35/192) Purging boost1.82-wave (1.82.0-r0) (36/192) Purging boost1.82-wserialization (1.82.0-r0) (37/192) Purging flex (2.6.4-r5) (38/192) Purging m4 (1.4.19-r3) (39/192) Purging gawk (5.2.1-r2) (40/192) Purging graphviz-dev (8.0.2-r1) (41/192) Purging gd-dev (2.3.3-r7) (42/192) Purging gd (2.3.3-r7) (43/192) Purging libgd (2.3.3-r7) (44/192) Purging gmp-dev (6.2.1-r3) (45/192) Purging libgmpxx (6.2.1-r3) (46/192) Purging libsm-dev (1.2.4-r1) (47/192) Purging pango-dev (1.50.14-r1) (48/192) Purging pango-tools (1.50.14-r1) (49/192) Purging pango (1.50.14-r1) Executing pango-1.50.14-r1.pre-deinstall (50/192) Purging python3-dev (3.11.3-r4) (51/192) Purging graphviz-libs (8.0.2-r1) (52/192) Purging lld (16.0.1-r1) (53/192) Purging scudo-malloc (16.0.1-r1) (54/192) Purging protobuf-dev (3.21.12-r1) (55/192) Purging protoc (3.21.12-r1) (56/192) Purging libprotobuf-lite (3.21.12-r1) (57/192) Purging libprotoc (3.21.12-r1) (58/192) Purging readline-dev (8.2.1-r1) (59/192) Purging libhistory (8.2.1-r1) (60/192) Purging tcl-dev (8.6.13-r1) (61/192) Purging tcl (8.6.13-r1) (62/192) Purging tzdata (2023c-r1) (63/192) Purging boost1.82-chrono (1.82.0-r0) (64/192) Purging boost1.82-context (1.82.0-r0) (65/192) Purging boost1.82-log (1.82.0-r0) (66/192) Purging boost1.82-serialization (1.82.0-r0) (67/192) Purging boost1.82-thread (1.82.0-r0) (68/192) Purging clang16 (16.0.1-r1) (69/192) Purging clang16-libclang (16.0.1-r1) (70/192) Purging clang16-libs (16.0.1-r1) (71/192) Purging fribidi-dev (1.0.12-r2) (72/192) Purging fribidi (1.0.12-r2) (73/192) Purging git-perl (2.40.0-r1) (74/192) Purging perl-git (2.40.0-r1) (75/192) Purging perl-error (0.17029-r1) (76/192) Purging perl (5.36.0-r2) (77/192) Purging libavif-dev (0.11.1-r1) (78/192) Purging libavif (0.11.1-r1) (79/192) Purging libdav1d (1.1.0-r1) (80/192) Purging libice-dev (1.1.1-r1) (81/192) Purging libprotobuf (3.21.12-r1) (82/192) Purging libxft-dev (2.3.7-r1) (83/192) Purging libxft (2.3.7-r1) (84/192) Purging libxpm-dev (3.5.15-r2) (85/192) Purging libxpm (3.5.15-r2) (86/192) Purging libxt (1.3.0-r1) (87/192) Purging lld-libs (16.0.1-r1) (88/192) Purging llvm16-libs (16.0.1-r1) (89/192) Purging python3-pycache-pyc0 (3.11.3-r4) (90/192) Purging tiff-dev (4.5.0-r6) (91/192) Purging libtiffxx (4.5.0-r6) (92/192) Purging tiff (4.5.0-r6) (93/192) Purging zstd-dev (1.5.5-r1) (94/192) Purging zstd (1.5.5-r1) (95/192) Purging aom-libs (3.6.0-r2) (96/192) Purging boost1.82-filesystem (1.82.0-r0) (97/192) Purging harfbuzz-dev (7.1.0-r1) (98/192) Purging harfbuzz-cairo (7.1.0-r1) (99/192) Purging harfbuzz-gobject (7.1.0-r1) (100/192) Purging harfbuzz-icu (7.1.0-r1) (101/192) Purging harfbuzz-subset (7.1.0-r1) (102/192) Purging graphite2-dev (1.3.14-r5) (103/192) Purging cairo-dev (1.17.8-r1) (104/192) Purging cairo-tools (1.17.8-r1) (105/192) Purging xcb-util-dev (0.4.1-r2) (106/192) Purging util-macros (1.20.0-r0) (107/192) Purging xcb-util (0.4.1-r2) (108/192) Purging cairo-gobject (1.17.8-r1) (109/192) Purging cairo (1.17.8-r1) (110/192) Purging fontconfig-dev (2.14.2-r1) (111/192) Purging fontconfig (2.14.2-r1) (112/192) Purging freetype-dev (2.13.0-r3) (113/192) Purging brotli-dev (1.0.9-r12) (114/192) Purging brotli (1.0.9-r12) (115/192) Purging glib-dev (2.76.1-r1) (116/192) Purging bzip2-dev (1.0.8-r5) (117/192) Purging docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-deinstall (118/192) Purging docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-deinstall (119/192) Purging gettext-dev (0.21.1-r3) (120/192) Purging gettext-asprintf (0.21.1-r3) (121/192) Purging gettext (0.21.1-r3) (122/192) Purging libxml2-utils (2.10.4-r1) (123/192) Purging libxslt (1.1.37-r3) (124/192) Purging python3 (3.11.3-r4) (125/192) Purging pcre2-dev (10.42-r1) (126/192) Purging libpcre2-16 (10.42-r1) (127/192) Purging libpcre2-32 (10.42-r1) (128/192) Purging libedit-dev (20221030.3.1-r1) (129/192) Purging ncurses-dev (6.4_p20230401-r4) (130/192) Purging libncurses++ (6.4_p20230401-r4) (131/192) Purging libpanelw (6.4_p20230401-r4) (132/192) Purging bsd-compat-headers (0.7.2-r4) (133/192) Purging expat-dev (2.5.0-r1) (134/192) Purging expat (2.5.0-r1) (135/192) Purging harfbuzz (7.1.0-r1) (136/192) Purging freetype (2.13.0-r3) (137/192) Purging gdbm (1.23-r1) (138/192) Purging gettext-libs (0.21.1-r3) (139/192) Purging glib (2.76.1-r1) (140/192) Purging graphite2 (1.3.14-r5) (141/192) Purging icu-dev (72.1-r3) (142/192) Purging icu (72.1-r3) (143/192) Purging icu-libs (72.1-r3) (144/192) Purging icu-data-en (72.1-r3) (145/192) Purging util-linux-dev (2.38.1-r7) (146/192) Purging libfdisk (2.38.1-r7) (147/192) Purging libmount (2.38.1-r7) (148/192) Purging libsmartcols (2.38.1-r7) (149/192) Purging libblkid (2.38.1-r7) (150/192) Purging libxrender-dev (0.9.11-r2) (151/192) Purging libxrender (0.9.11-r2) (152/192) Purging libxext-dev (1.3.5-r1) (153/192) Purging libxext (1.3.5-r1) (154/192) Purging libx11-dev (1.8.4-r2) (155/192) Purging xtrans (1.4.0-r2) (156/192) Purging libx11 (1.8.4-r2) (157/192) Purging libxcb-dev (1.15-r1) (158/192) Purging xcb-proto (1.15.2-r1) (159/192) Purging libxcb (1.15-r1) (160/192) Purging libxdmcp-dev (1.1.4-r1) (161/192) Purging libxdmcp (1.1.4-r1) (162/192) Purging libbsd (0.11.7-r1) (163/192) Purging libbz2 (1.0.8-r5) (164/192) Purging libffi-dev (3.4.4-r2) (165/192) Purging linux-headers (6.2-r0) (166/192) Purging libffi (3.4.4-r2) (167/192) Purging libformw (6.4_p20230401-r4) (168/192) Purging libgcrypt (1.10.2-r1) (169/192) Purging libgpg-error (1.47-r1) (170/192) Purging libsm (1.2.4-r1) (171/192) Purging libice (1.1.1-r1) (172/192) Purging libintl (0.21.1-r3) (173/192) Purging libjpeg-turbo-dev (2.1.5.1-r2) (174/192) Purging libjpeg-turbo (2.1.5.1-r2) (175/192) Purging libmd (1.0.4-r2) (176/192) Purging libmenuw (6.4_p20230401-r4) (177/192) Purging libpng-dev (1.6.39-r3) (178/192) Purging libpng (1.6.39-r3) (179/192) Purging libuuid (2.38.1-r7) (180/192) Purging libwebp-dev (1.3.0-r1) (181/192) Purging libwebp (1.3.0-r1) (182/192) Purging libxau-dev (1.0.11-r1) (183/192) Purging libxau (1.0.11-r1) (184/192) Purging libxml2 (2.10.4-r1) (185/192) Purging mpdecimal (2.5.1-r2) (186/192) Purging pixman-dev (0.42.2-r1) (187/192) Purging pixman (0.42.2-r1) (188/192) Purging readline (8.2.1-r1) (189/192) Purging sqlite-libs (3.41.2-r2) (190/192) Purging xorgproto (2022.2-r0) (191/192) Purging xz-libs (5.4.2-r1) (192/192) Purging zlib-dev (1.2.13-r1) Executing busybox-1.36.0-r7.trigger OK: 408 MiB in 99 packages >>> yosys: Updating the testing/aarch64 repository index... >>> yosys: Signing the index...