>>> yosys: Building testing/yosys 0.30-r0 (using abuild 3.11.7-r0) started Thu, 15 Jun 2023 16:30:38 +0000 >>> yosys: Checking sanity of /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base bash bison boost-dev clang flex gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev (1/197) Installing readline (8.2.1-r2) (2/197) Installing bash (5.2.15-r5) Executing bash-5.2.15-r5.post-install (3/197) Installing m4 (1.4.19-r3) (4/197) Installing bison (3.8.2-r1) (5/197) Installing boost1.82-atomic (1.82.0-r2) (6/197) Installing boost1.82-chrono (1.82.0-r2) (7/197) Installing boost1.82-container (1.82.0-r2) (8/197) Installing boost1.82-context (1.82.0-r2) (9/197) Installing boost1.82-contract (1.82.0-r2) (10/197) Installing boost1.82-coroutine (1.82.0-r2) (11/197) Installing boost1.82-date_time (1.82.0-r2) (12/197) Installing boost1.82-fiber (1.82.0-r2) (13/197) Installing boost1.82-filesystem (1.82.0-r2) (14/197) Installing boost1.82-graph (1.82.0-r2) (15/197) Installing libbz2 (1.0.8-r6) (16/197) Installing xz-libs (5.4.3-r1) (17/197) Installing boost1.82-iostreams (1.82.0-r2) (18/197) Installing boost1.82-thread (1.82.0-r2) (19/197) Installing icu-data-en (73.2-r0) Executing icu-data-en-73.2-r0.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (20/197) Installing icu-libs (73.2-r0) (21/197) Installing boost1.82-locale (1.82.0-r2) (22/197) Installing boost1.82-log (1.82.0-r2) (23/197) Installing boost1.82-log_setup (1.82.0-r2) (24/197) Installing boost1.82-math (1.82.0-r2) (25/197) Installing boost1.82-prg_exec_monitor (1.82.0-r2) (26/197) Installing boost1.82-program_options (1.82.0-r2) (27/197) Installing libffi (3.4.4-r3) (28/197) Installing gdbm (1.23-r1) (29/197) Installing mpdecimal (2.5.1-r2) (30/197) Installing libpanelw (6.4_p20230610-r0) (31/197) Installing sqlite-libs (3.42.0-r2) (32/197) Installing python3 (3.11.4-r0) (33/197) Installing python3-pycache-pyc0 (3.11.4-r0) (34/197) Installing pyc (0.1-r0) (35/197) Installing python3-pyc (3.11.4-r0) (36/197) Installing boost1.82-python3 (1.82.0-r2) (37/197) Installing boost1.82-random (1.82.0-r2) (38/197) Installing boost1.82-regex (1.82.0-r2) (39/197) Installing boost1.82-serialization (1.82.0-r2) (40/197) Installing boost1.82-stacktrace_basic (1.82.0-r2) (41/197) Installing boost1.82-stacktrace_noop (1.82.0-r2) (42/197) Installing boost1.82-system (1.82.0-r2) (43/197) Installing boost1.82-timer (1.82.0-r2) (44/197) Installing boost1.82-type_erasure (1.82.0-r2) (45/197) Installing boost1.82-unit_test_framework (1.82.0-r2) (46/197) Installing boost1.82-url (1.82.0-r2) (47/197) Installing boost1.82-wave (1.82.0-r2) (48/197) Installing boost1.82-wserialization (1.82.0-r2) (49/197) Installing boost1.82-json (1.82.0-r2) (50/197) Installing boost1.82-nowide (1.82.0-r2) (51/197) Installing boost1.82-libs (1.82.0-r2) (52/197) Installing boost1.82 (1.82.0-r2) (53/197) Installing linux-headers (6.3-r0) (54/197) Installing bzip2-dev (1.0.8-r6) (55/197) Installing icu (73.2-r0) (56/197) Installing icu-dev (73.2-r0) (57/197) Installing xz (5.4.3-r1) (58/197) Installing xz-dev (5.4.3-r1) (59/197) Installing zlib-dev (1.2.13-r2) (60/197) Installing zstd (1.5.5-r5) (61/197) Installing zstd-dev (1.5.5-r5) (62/197) Installing boost1.82-dev (1.82.0-r2) (63/197) Installing boost-dev (1.82.0-r2) (64/197) Installing clang16-headers (16.0.6-r0) (65/197) Installing libxml2 (2.11.4-r0) (66/197) Installing llvm16-libs (16.0.6-r2) (67/197) Installing clang16-libs (16.0.6-r0) (68/197) Installing llvm16-linker-tools (16.0.6-r2) (69/197) Installing clang16 (16.0.6-r0) (70/197) Installing flex (2.6.4-r6) (71/197) Installing gawk (5.2.2-r0) (72/197) Installing cairo-tools (1.17.8-r2) (73/197) Installing libpng (1.6.39-r4) (74/197) Installing freetype (2.13.0-r6) (75/197) Installing fontconfig (2.14.2-r4) (76/197) Installing expat (2.5.0-r2) (77/197) Installing expat-dev (2.5.0-r2) (78/197) Installing brotli (1.0.9-r15) (79/197) Installing brotli-dev (1.0.9-r15) (80/197) Installing libpng-dev (1.6.39-r4) (81/197) Installing freetype-dev (2.13.0-r6) (82/197) Installing fontconfig-dev (2.14.2-r4) (83/197) Installing libxau (1.0.11-r3) (84/197) Installing xorgproto (2023.1-r0) (85/197) Installing libxau-dev (1.0.11-r3) (86/197) Installing libmd (1.1.0-r0) (87/197) Installing libbsd (0.11.7-r2) (88/197) Installing libxdmcp (1.1.4-r3) (89/197) Installing libxcb (1.15-r3) (90/197) Installing libx11 (1.8.5-r2) (91/197) Installing libxext (1.3.5-r3) (92/197) Installing xcb-proto (1.15.2-r2) (93/197) Installing xcb-proto-pyc (1.15.2-r2) (94/197) Installing libxdmcp-dev (1.1.4-r3) (95/197) Installing libxcb-dev (1.15-r3) (96/197) Installing xtrans (1.5.0-r0) (97/197) Installing libx11-dev (1.8.5-r2) (98/197) Installing libxext-dev (1.3.5-r3) (99/197) Installing libxrender (0.9.11-r4) (100/197) Installing libxrender-dev (0.9.11-r4) (101/197) Installing pixman (0.42.2-r2) (102/197) Installing pixman-dev (0.42.2-r2) (103/197) Installing util-macros (1.20.0-r0) (104/197) Installing xcb-util (0.4.1-r3) (105/197) Installing xcb-util-dev (0.4.1-r3) (106/197) Installing cairo (1.17.8-r2) (107/197) Installing libintl (0.21.1-r9) (108/197) Installing libblkid (2.39-r10) (109/197) Installing libmount (2.39-r10) (110/197) Installing glib (2.76.3-r0) (111/197) Installing cairo-gobject (1.17.8-r2) (112/197) Installing libxml2-utils (2.11.4-r0) (113/197) Installing docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-install (114/197) Installing libgpg-error (1.47-r2) (115/197) Installing libgcrypt (1.10.2-r2) (116/197) Installing libxslt (1.1.38-r1) (117/197) Installing docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-install (118/197) Installing gettext-asprintf (0.21.1-r9) (119/197) Installing gettext-libs (0.21.1-r9) (120/197) Installing gettext-envsubst (0.21.1-r9) (121/197) Installing gettext (0.21.1-r9) (122/197) Installing gettext-dev (0.21.1-r9) (123/197) Installing libffi-dev (3.4.4-r3) (124/197) Installing bsd-compat-headers (0.7.2-r5) (125/197) Installing libformw (6.4_p20230610-r0) (126/197) Installing libmenuw (6.4_p20230610-r0) (127/197) Installing libncurses++ (6.4_p20230610-r0) (128/197) Installing ncurses-dev (6.4_p20230610-r0) (129/197) Installing libedit-dev (20221030.3.1-r2) (130/197) Installing libpcre2-16 (10.42-r1) (131/197) Installing libpcre2-32 (10.42-r1) (132/197) Installing pcre2-dev (10.42-r1) (133/197) Installing libuuid (2.39-r10) (134/197) Installing libfdisk (2.39-r10) (135/197) Installing libsmartcols (2.39-r10) (136/197) Installing util-linux-dev (2.39-r10) (137/197) Installing glib-dev (2.76.3-r0) (138/197) Installing cairo-dev (1.17.8-r2) (139/197) Installing libice (1.1.1-r5) (140/197) Installing libsm (1.2.4-r3) (141/197) Installing libxt (1.3.0-r4) (142/197) Installing libxpm (3.5.16-r1) (143/197) Installing aom-libs (3.6.1-r0) (144/197) Installing libdav1d (1.2.1-r0) (145/197) Installing libwebp (1.3.0-r3) (146/197) Installing libavif (0.11.1-r2) (147/197) Installing libjpeg-turbo (2.1.5.1-r4) (148/197) Installing tiff (4.5.1-r0) (149/197) Installing libgd (2.3.3-r7) (150/197) Installing gd (2.3.3-r7) (151/197) Installing perl (5.36.1-r2) (152/197) Installing libavif-dev (0.11.1-r2) (153/197) Installing libjpeg-turbo-dev (2.1.5.1-r4) (154/197) Installing libtiffxx (4.5.1-r0) (155/197) Installing libwebp-dev (1.3.0-r3) (156/197) Installing tiff-dev (4.5.1-r0) (157/197) Installing libxpm-dev (3.5.16-r1) (158/197) Installing gd-dev (2.3.3-r7) (159/197) Installing libgmpxx (6.2.1-r3) (160/197) Installing gmp-dev (6.2.1-r3) (161/197) Installing libice-dev (1.1.1-r5) (162/197) Installing libsm-dev (1.2.4-r3) (163/197) Installing libxft (2.3.8-r2) (164/197) Installing graphite2 (1.3.14-r6) (165/197) Installing harfbuzz (7.3.0-r1) (166/197) Installing fribidi (1.0.13-r0) (167/197) Installing pango (1.50.14-r1) (168/197) Installing pango-tools (1.50.14-r1) (169/197) Installing fribidi-dev (1.0.13-r0) (170/197) Installing harfbuzz-cairo (7.3.0-r1) (171/197) Installing harfbuzz-gobject (7.3.0-r1) (172/197) Installing harfbuzz-icu (7.3.0-r1) (173/197) Installing harfbuzz-subset (7.3.0-r1) (174/197) Installing graphite2-dev (1.3.14-r6) (175/197) Installing harfbuzz-dev (7.3.0-r1) (176/197) Installing libxft-dev (2.3.8-r2) (177/197) Installing pango-dev (1.50.14-r1) (178/197) Installing python3-dev (3.11.4-r0) (179/197) Installing graphviz-libs (8.0.5-r2) (180/197) Installing graphviz-dev (8.0.5-r2) (181/197) Installing lld-libs (16.0.6-r0) (182/197) Installing scudo-malloc (16.0.6-r0) (183/197) Installing lld (16.0.6-r0) (184/197) Installing libprotobuf (3.21.12-r2) (185/197) Installing libprotoc (3.21.12-r2) (186/197) Installing protoc (3.21.12-r2) (187/197) Installing libprotobuf-lite (3.21.12-r2) (188/197) Installing protobuf-dev (3.21.12-r2) (189/197) Installing libhistory (8.2.1-r2) (190/197) Installing readline-dev (8.2.1-r2) (191/197) Installing tzdata (2023c-r1) (192/197) Installing tcl (8.6.13-r1) (193/197) Installing tcl-dev (8.6.13-r1) (194/197) Installing .makedepends-yosys (20230615.163039) (195/197) Installing perl-error (0.17029-r1) (196/197) Installing perl-git (2.41.0-r0) (197/197) Installing git-perl (2.41.0-r0) Executing busybox-1.36.1-r1.trigger Executing glib-2.76.3-r0.trigger No schema files found: doing nothing. OK: 1089 MiB in 297 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Fetching https://github.com/YosysHQ/yosys/archive/refs/tags/yosys-0.30.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 1809k 0 1809k 0 0 2211k 0 --:--:-- --:--:-- --:--:-- 2211k 100 2482k 0 2482k 0 0 2624k 0 --:--:-- --:--:-- --:--:-- 5303k >>> yosys: Fetching yosys-0.30-abc.tar.gz::https://github.com/YosysHQ/yosys/releases/download/yosys-0.30/abc.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 5922k 100 5922k 0 0 7483k 0 --:--:-- --:--:-- --:--:-- 7483k >>> yosys: Fetching https://github.com/YosysHQ/yosys/archive/refs/tags/yosys-0.30.tar.gz >>> yosys: Fetching yosys-0.30-abc.tar.gz::https://github.com/YosysHQ/yosys/releases/download/yosys-0.30/abc.tar.gz >>> yosys: Checking sha512sums... yosys-0.30.tar.gz: OK yosys-0.30-abc.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/yosys-0.30.tar.gz... >>> yosys: Unpacking /var/cache/distfiles/yosys-0.30-abc.tar.gz... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [ 0%] Building kernel/version_f7a8284c7b0.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/log.pyh clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/register.pyh clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/rtlil.pyh clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/sigtools.pyh clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/yosys.pyh clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/cost.pyh clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building kernel/driver.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building techlibs/common/simlib_help.inc clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 2%] Building kernel/calc.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 2%] Building kernel/yosys.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 2%] Building kernel/binding.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 3%] Building kernel/cellaigs.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 3%] Building kernel/celledges.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 3%] Building kernel/satgen.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 4%] Building kernel/qcsat.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 4%] Building kernel/mem.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 4%] Building kernel/ffmerge.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 5%] Building kernel/ff.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 5%] Building kernel/yw.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 5%] Building kernel/json.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 6%] Building kernel/fstdata.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 6%] Building libs/bigint/BigIntegerAlgorithms.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 6%] Building libs/bigint/BigInteger.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 7%] Building libs/bigint/BigIntegerUtils.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 7%] Building libs/bigint/BigUnsigned.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 7%] Building libs/bigint/BigUnsignedInABase.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 8%] Building libs/sha1/sha1.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 8%] Building libs/json11/json11.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 8%] Building libs/subcircuit/subcircuit.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/ezsat/ezsat.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/ezsat/ezminisat.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/minisat/Options.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 9%] Building libs/minisat/SimpSolver.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 10%] Building libs/minisat/Solver.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 10%] Building libs/minisat/System.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 10%] Building libs/fst/fstapi.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 11%] Building libs/fst/fastlz.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 11%] Building libs/fst/lz4.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 11%] Building frontends/aiger/aigerparse.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 12%] Building frontends/ast/ast.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 12%] Building frontends/ast/simplify.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 12%] Building frontends/ast/genrtlil.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] libs/fst/fstapi.cc:5539:18: warning: variable 'secnum' set but not used [-Wunused-but-set-variable] unsigned int secnum = 0; ^ [ 13%] Building frontends/ast/dpicall.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 13%] Building frontends/ast/ast_binding.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 13%] Building frontends/blif/blifparse.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/json/jsonparse.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/liberty/liberty.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/rpc/rpc_frontend.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 16%] Building frontends/verific/verific.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 16%] Building frontends/verilog/verilog_parser.tab.cc clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 17%] Building frontends/verilog/preproc.o [ 17%] Building frontends/verilog/verilog_frontend.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 17%] Building frontends/verilog/const2ast.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/exec.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/add.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/delete.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 18%] Building passes/cmds/design.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 19%] Building passes/cmds/select.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 19%] Building passes/cmds/show.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 19%] Building passes/cmds/viz.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 20%] Building passes/cmds/rename.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 20%] Building passes/cmds/autoname.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 20%] Building passes/cmds/connect.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 21%] Building passes/cmds/scatter.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 21%] Building passes/cmds/setundef.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 21%] Building passes/cmds/splitnets.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 22%] Building passes/cmds/splitcells.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] 1 warning generated. clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 22%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 23%] Building passes/cmds/copy.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 23%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 24%] Building passes/cmds/glift.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 24%] Building passes/cmds/torder.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 24%] Building passes/cmds/logcmd.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 25%] Building passes/cmds/tee.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 25%] Building passes/cmds/write_file.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 25%] Building passes/cmds/connwrappers.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 26%] Building passes/cmds/cover.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 26%] Building passes/cmds/trace.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 26%] Building passes/cmds/plugin.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 27%] Building passes/cmds/check.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 27%] Building passes/cmds/qwp.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 27%] Building passes/cmds/edgetypes.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 27%] Building passes/cmds/portlist.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 28%] Building passes/cmds/chformal.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 28%] Building passes/cmds/chtype.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 28%] Building passes/cmds/blackbox.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 29%] Building passes/cmds/ltp.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 29%] Building passes/cmds/bugpoint.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 29%] Building passes/cmds/scratchpad.o [ 30%] Building passes/cmds/logger.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 30%] Building passes/cmds/printattrs.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 30%] Building passes/cmds/sta.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 31%] Building passes/cmds/clean_zerowidth.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 31%] Building passes/cmds/xprop.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 31%] Building passes/equiv/equiv_make.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 32%] Building passes/equiv/equiv_miter.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 32%] Building passes/equiv/equiv_simple.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 32%] Building passes/equiv/equiv_status.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 33%] Building passes/equiv/equiv_add.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 33%] Building passes/equiv/equiv_remove.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 33%] Building passes/equiv/equiv_induct.o [ 34%] Building passes/equiv/equiv_struct.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 34%] Building passes/equiv/equiv_purge.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 34%] Building passes/equiv/equiv_mark.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 35%] Building passes/equiv/equiv_opt.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 35%] Building passes/fsm/fsm.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 35%] Building passes/fsm/fsm_detect.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 36%] Building passes/fsm/fsm_extract.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 36%] Building passes/fsm/fsm_opt.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 36%] Building passes/fsm/fsm_expand.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 36%] Building passes/fsm/fsm_recode.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 37%] Building passes/fsm/fsm_info.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 37%] Building passes/fsm/fsm_export.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 37%] Building passes/fsm/fsm_map.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 38%] Building passes/hierarchy/hierarchy.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 38%] Building passes/hierarchy/uniquify.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 38%] Building passes/hierarchy/submod.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 39%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 39%] Building passes/memory/memory_share.o [ 40%] Building passes/memory/memory_collect.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 40%] Building passes/memory/memory_unpack.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 40%] Building passes/memory/memory_bram.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 41%] Building passes/memory/memory_map.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 41%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 42%] Building passes/memory/memory_narrow.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 42%] Building passes/memory/memory_libmap.o [ 42%] Building passes/memory/memory_bmux2rom.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 43%] Building passes/memory/memlib.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 43%] Building passes/opt/opt.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 43%] Building passes/opt/opt_merge.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 44%] Building passes/opt/opt_mem.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 44%] Building passes/opt/opt_mem_feedback.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 44%] Building passes/opt/opt_mem_priority.o [ 45%] Building passes/opt/opt_mem_widen.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 45%] Building passes/opt/opt_muxtree.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 45%] Building passes/opt/opt_reduce.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 45%] Building passes/opt/opt_dff.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 46%] Building passes/opt/opt_share.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 46%] Building passes/opt/opt_clean.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 46%] Building passes/opt/opt_expr.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 47%] Building passes/opt/share.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 47%] Building passes/opt/wreduce.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 47%] Building passes/opt/opt_demorgan.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 48%] Building passes/opt/rmports.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 48%] Building passes/opt/opt_lut.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 48%] Building passes/opt/opt_lut_ins.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 49%] Building passes/opt/opt_ffinv.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 49%] Building passes/opt/pmux2shiftx.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 49%] Building passes/opt/muxpack.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 50%] Building passes/pmgen/ice40_dsp.o [ 50%] Building passes/pmgen/ice40_wrapcarry_pm.h clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 50%] Building passes/pmgen/xilinx_dsp_pm.h [ 50%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 51%] Building passes/pmgen/peepopt.o [ 51%] Building passes/pmgen/xilinx_srl.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 52%] Building passes/proc/proc.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 52%] Building passes/proc/proc_prune.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 52%] Building passes/proc/proc_clean.o [ 53%] Building passes/proc/proc_rmdead.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 53%] Building passes/proc/proc_init.o [ 53%] Building passes/proc/proc_arst.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 54%] Building passes/proc/proc_rom.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 54%] Building passes/proc/proc_mux.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 54%] Building passes/proc/proc_dlatch.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 54%] Building passes/proc/proc_dff.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 55%] Building passes/proc/proc_memwr.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 55%] Building passes/sat/sat.o [ 55%] Building passes/sat/freduce.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 56%] Building passes/sat/eval.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 56%] Building passes/sat/sim.o [ 56%] Building passes/sat/miter.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 57%] Building passes/sat/expose.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 57%] Building passes/sat/assertpmux.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 57%] Building passes/sat/clk2fflogic.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 58%] Building passes/sat/async2sync.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 58%] Building passes/sat/formalff.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 58%] Building passes/sat/supercover.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 59%] Building passes/sat/fmcombine.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 59%] Building passes/sat/mutate.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 59%] Building passes/sat/cutpoint.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 60%] Building passes/sat/fminit.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 60%] Building passes/sat/recover_names.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 60%] Building passes/sat/qbfsat.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 61%] Building passes/sat/synthprop.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 61%] Building passes/techmap/flatten.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 61%] Building passes/techmap/techmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 62%] Building passes/techmap/simplemap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 62%] Building passes/techmap/dfflibmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 62%] Building passes/techmap/maccmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 63%] Building passes/techmap/libparse.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 63%] Building passes/techmap/abc.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 63%] Building passes/techmap/abc9.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 63%] Building passes/techmap/abc9_exe.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 64%] Building passes/techmap/abc9_ops.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 64%] Building passes/techmap/iopadmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 64%] Building passes/techmap/clkbufmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 65%] Building passes/techmap/hilomap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 65%] Building passes/techmap/extract.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 65%] Building passes/techmap/extract_fa.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 66%] Building passes/techmap/extract_counter.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 66%] Building passes/techmap/extract_reduce.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 66%] Building passes/techmap/alumacc.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 67%] Building passes/techmap/dffinit.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 67%] Building passes/techmap/pmuxtree.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 67%] Building passes/techmap/bmuxmap.o [ 68%] Building passes/techmap/demuxmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 68%] Building passes/techmap/bwmuxmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 68%] Building passes/techmap/muxcover.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 69%] Building passes/techmap/aigmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 69%] Building passes/techmap/tribuf.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 69%] Building passes/techmap/lut2mux.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 70%] Building passes/techmap/nlutmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 70%] Building passes/techmap/shregmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 70%] Building passes/techmap/deminout.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 71%] Building passes/techmap/insbuf.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 71%] Building passes/techmap/attrmvcp.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 71%] Building passes/techmap/attrmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 72%] Building passes/techmap/zinit.o [ 72%] Building passes/techmap/dfflegalize.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 72%] Building passes/techmap/dffunmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 72%] Building passes/techmap/flowmap.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 73%] Building passes/techmap/extractinv.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 73%] Building passes/tests/test_autotb.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 73%] Building passes/tests/test_cell.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 74%] Building passes/tests/test_abcloop.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 74%] Building backends/aiger/aiger.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 74%] Building backends/aiger/xaiger.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 75%] Building backends/blif/blif.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 75%] Building backends/btor/btor.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 75%] Building backends/cxxrtl/cxxrtl_backend.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 76%] Building backends/edif/edif.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 76%] Building backends/firrtl/firrtl.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 76%] Building backends/intersynth/intersynth.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 77%] Building backends/jny/jny.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 77%] Building backends/json/json.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 77%] Building backends/rtlil/rtlil_backend.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 78%] Building backends/simplec/simplec.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 78%] Building backends/smt2/smt2.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 78%] Building backends/smv/smv.o [ 79%] Building backends/spice/spice.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 79%] Building backends/table/table.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 79%] Building backends/verilog/verilog_backend.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 80%] Building techlibs/achronix/synth_achronix.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 80%] Building techlibs/anlogic/synth_anlogic.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 80%] Building techlibs/anlogic/anlogic_eqn.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 81%] Building techlibs/anlogic/anlogic_fixcarry.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 81%] Building techlibs/common/synth.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 81%] Building techlibs/common/prep.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 81%] Building techlibs/coolrunner2/synth_coolrunner2.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 82%] Building techlibs/coolrunner2/coolrunner2_sop.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 82%] Building techlibs/coolrunner2/coolrunner2_fixup.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 82%] Building techlibs/easic/synth_easic.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 83%] Building techlibs/ecp5/synth_ecp5.o [ 83%] Building techlibs/ecp5/ecp5_gsr.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 83%] Building techlibs/efinix/synth_efinix.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 84%] Building techlibs/efinix/efinix_fixcarry.o [ 84%] Building techlibs/fabulous/synth_fabulous.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 84%] Building techlibs/gatemate/synth_gatemate.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 85%] Building techlibs/gatemate/gatemate_foldinv.o [ 85%] Building techlibs/gowin/synth_gowin.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 85%] Building techlibs/greenpak4/synth_greenpak4.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 86%] Building techlibs/greenpak4/greenpak4_dffinv.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 86%] Building techlibs/ice40/synth_ice40.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 86%] Building techlibs/ice40/ice40_braminit.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 87%] Building techlibs/ice40/ice40_opt.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 87%] Building techlibs/intel/synth_intel.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 87%] Building techlibs/intel_alm/synth_intel_alm.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 88%] Building techlibs/machxo2/synth_machxo2.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 88%] Building techlibs/nexus/synth_nexus.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 88%] Building techlibs/quicklogic/synth_quicklogic.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 89%] Building techlibs/sf2/synth_sf2.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/cells_xtra.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/machxo2/cells_io.vh [ 99%] Building share/machxo2/cells_map.v [ 99%] Building share/machxo2/cells_sim.v [ 99%] Building share/machxo2/cells_bb.v [ 99%] Building share/machxo2/lutrams.txt [ 99%] Building share/machxo2/lutrams_map.v [ 99%] Building share/machxo2/brams.txt [ 99%] Building share/machxo2/brams_map.v [ 99%] Building share/machxo2/arith_map.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/pp3_ffs_map.v [ 99%] Building share/quicklogic/pp3_lut_map.v [ 99%] Building share/quicklogic/pp3_latches_map.v [ 99%] Building share/quicklogic/pp3_cells_map.v [ 99%] Building share/quicklogic/cells_sim.v [ 99%] Building share/quicklogic/lut_sim.v [ 99%] Building share/quicklogic/pp3_cells_sim.v [ 99%] Building share/quicklogic/abc9_model.v [ 99%] Building share/quicklogic/abc9_map.v [ 99%] Building share/quicklogic/abc9_unmap.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_f7a8284c7b0.o [ 99%] Building kernel/python_wrappers.cc clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building frontends/rtlil/rtlil_lexer.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building frontends/verilog/verilog_parser.tab.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building frontends/verilog/verilog_lexer.cc clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building passes/pmgen/xilinx_dsp.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building frontends/verilog/verilog_lexer.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] frontends/verilog/verilog_parser.tab.cc:3545:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable] int yynerrs = 0; ^ frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs' #define yynerrs frontend_verilog_yynerrs ^ 1 warning generated. [ 99%] Building kernel/python_wrappers.o clang-16: warning: argument unused during compilation: '-fstack-clash-protection' [-Wunused-command-line-argument] [100%] Building yosys [100%] Building libyosys.so Build successful. >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.11' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> py3-yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> yosys*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.30-r0 python3~3.11 yosys=0.30-r0 >>> py3-yosys*: Package size: 28.0 KB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.30-r0.apk >>> yosys-dev*: Tracing dependencies... >>> yosys-dev*: Package size: 560.0 KB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.30-r0.apk >>> yosys*: Tracing dependencies... so:libboost_filesystem.so.1.82.0 so:libboost_python311.so.1.82.0 so:libc.musl-aarch64.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.11.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 39.9 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.30-r0.apk >>> yosys: Build complete at Thu, 15 Jun 2023 16:34:12 +0000 elapsed time 0h 3m 34s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Uninstalling dependencies... (1/197) Purging .makedepends-yosys (20230615.163039) (2/197) Purging bash (5.2.15-r5) Executing bash-5.2.15-r5.pre-deinstall (3/197) Purging bison (3.8.2-r1) (4/197) Purging boost-dev (1.82.0-r2) (5/197) Purging boost1.82-dev (1.82.0-r2) (6/197) Purging boost1.82 (1.82.0-r2) (7/197) Purging xz-dev (5.4.3-r1) (8/197) Purging boost1.82-libs (1.82.0-r2) (9/197) Purging boost1.82-atomic (1.82.0-r2) (10/197) Purging boost1.82-container (1.82.0-r2) (11/197) Purging boost1.82-contract (1.82.0-r2) (12/197) Purging boost1.82-coroutine (1.82.0-r2) (13/197) Purging boost1.82-date_time (1.82.0-r2) (14/197) Purging boost1.82-fiber (1.82.0-r2) (15/197) Purging boost1.82-graph (1.82.0-r2) (16/197) Purging boost1.82-iostreams (1.82.0-r2) (17/197) Purging boost1.82-json (1.82.0-r2) (18/197) Purging boost1.82-locale (1.82.0-r2) (19/197) Purging boost1.82-log_setup (1.82.0-r2) (20/197) Purging boost1.82-math (1.82.0-r2) (21/197) Purging boost1.82-nowide (1.82.0-r2) (22/197) Purging boost1.82-prg_exec_monitor (1.82.0-r2) (23/197) Purging boost1.82-program_options (1.82.0-r2) (24/197) Purging boost1.82-python3 (1.82.0-r2) (25/197) Purging boost1.82-random (1.82.0-r2) (26/197) Purging boost1.82-regex (1.82.0-r2) (27/197) Purging boost1.82-stacktrace_basic (1.82.0-r2) (28/197) Purging boost1.82-stacktrace_noop (1.82.0-r2) (29/197) Purging boost1.82-system (1.82.0-r2) (30/197) Purging boost1.82-timer (1.82.0-r2) (31/197) Purging boost1.82-type_erasure (1.82.0-r2) (32/197) Purging boost1.82-unit_test_framework (1.82.0-r2) (33/197) Purging boost1.82-url (1.82.0-r2) (34/197) Purging boost1.82-wave (1.82.0-r2) (35/197) Purging boost1.82-wserialization (1.82.0-r2) (36/197) Purging flex (2.6.4-r6) (37/197) Purging m4 (1.4.19-r3) (38/197) Purging gawk (5.2.2-r0) (39/197) Purging graphviz-dev (8.0.5-r2) (40/197) Purging gd-dev (2.3.3-r7) (41/197) Purging gd (2.3.3-r7) (42/197) Purging libgd (2.3.3-r7) (43/197) Purging gmp-dev (6.2.1-r3) (44/197) Purging libgmpxx (6.2.1-r3) (45/197) Purging libsm-dev (1.2.4-r3) (46/197) Purging pango-dev (1.50.14-r1) (47/197) Purging pango-tools (1.50.14-r1) (48/197) Purging pango (1.50.14-r1) Executing pango-1.50.14-r1.pre-deinstall (49/197) Purging python3-dev (3.11.4-r0) (50/197) Purging graphviz-libs (8.0.5-r2) (51/197) Purging lld (16.0.6-r0) (52/197) Purging protobuf-dev (3.21.12-r2) (53/197) Purging protoc (3.21.12-r2) (54/197) Purging libprotobuf-lite (3.21.12-r2) (55/197) Purging libprotoc (3.21.12-r2) (56/197) Purging readline-dev (8.2.1-r2) (57/197) Purging libhistory (8.2.1-r2) (58/197) Purging tcl-dev (8.6.13-r1) (59/197) Purging tcl (8.6.13-r1) (60/197) Purging tzdata (2023c-r1) (61/197) Purging boost1.82-chrono (1.82.0-r2) (62/197) Purging boost1.82-context (1.82.0-r2) (63/197) Purging boost1.82-log (1.82.0-r2) (64/197) Purging boost1.82-serialization (1.82.0-r2) (65/197) Purging boost1.82-thread (1.82.0-r2) (66/197) Purging clang16 (16.0.6-r0) (67/197) Purging llvm16-linker-tools (16.0.6-r2) (68/197) Purging clang16-headers (16.0.6-r0) (69/197) Purging clang16-libs (16.0.6-r0) (70/197) Purging fribidi-dev (1.0.13-r0) (71/197) Purging fribidi (1.0.13-r0) (72/197) Purging git-perl (2.41.0-r0) (73/197) Purging perl-git (2.41.0-r0) (74/197) Purging perl-error (0.17029-r1) (75/197) Purging perl (5.36.1-r2) (76/197) Purging harfbuzz-dev (7.3.0-r1) (77/197) Purging harfbuzz-cairo (7.3.0-r1) (78/197) Purging harfbuzz-gobject (7.3.0-r1) (79/197) Purging harfbuzz-icu (7.3.0-r1) (80/197) Purging harfbuzz-subset (7.3.0-r1) (81/197) Purging harfbuzz (7.3.0-r1) (82/197) Purging icu-dev (73.2-r0) (83/197) Purging libavif-dev (0.11.1-r2) (84/197) Purging libavif (0.11.1-r2) (85/197) Purging libdav1d (1.2.1-r0) (86/197) Purging libice-dev (1.1.1-r5) (87/197) Purging libprotobuf (3.21.12-r2) (88/197) Purging libxft-dev (2.3.8-r2) (89/197) Purging libxft (2.3.8-r2) (90/197) Purging libxpm-dev (3.5.16-r1) (91/197) Purging libxpm (3.5.16-r1) (92/197) Purging libxt (1.3.0-r4) (93/197) Purging lld-libs (16.0.6-r0) (94/197) Purging llvm16-libs (16.0.6-r2) (95/197) Purging python3-pyc (3.11.4-r0) (96/197) Purging python3-pycache-pyc0 (3.11.4-r0) (97/197) Purging xcb-proto-pyc (1.15.2-r2) (98/197) Purging pyc (0.1-r0) (99/197) Purging scudo-malloc (16.0.6-r0) (100/197) Purging tiff-dev (4.5.1-r0) (101/197) Purging libtiffxx (4.5.1-r0) (102/197) Purging tiff (4.5.1-r0) (103/197) Purging zstd-dev (1.5.5-r5) (104/197) Purging zstd (1.5.5-r5) (105/197) Purging aom-libs (3.6.1-r0) (106/197) Purging boost1.82-filesystem (1.82.0-r2) (107/197) Purging graphite2-dev (1.3.14-r6) (108/197) Purging graphite2 (1.3.14-r6) (109/197) Purging cairo-dev (1.17.8-r2) (110/197) Purging cairo-tools (1.17.8-r2) (111/197) Purging xcb-util-dev (0.4.1-r3) (112/197) Purging util-macros (1.20.0-r0) (113/197) Purging xcb-util (0.4.1-r3) (114/197) Purging cairo-gobject (1.17.8-r2) (115/197) Purging cairo (1.17.8-r2) (116/197) Purging fontconfig-dev (2.14.2-r4) (117/197) Purging fontconfig (2.14.2-r4) (118/197) Purging freetype-dev (2.13.0-r6) (119/197) Purging freetype (2.13.0-r6) (120/197) Purging brotli-dev (1.0.9-r15) (121/197) Purging brotli (1.0.9-r15) (122/197) Purging glib-dev (2.76.3-r0) (123/197) Purging bzip2-dev (1.0.8-r6) (124/197) Purging docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-deinstall (125/197) Purging docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-deinstall (126/197) Purging gettext-dev (0.21.1-r9) (127/197) Purging xz (5.4.3-r1) (128/197) Purging gettext-asprintf (0.21.1-r9) (129/197) Purging gettext (0.21.1-r9) (130/197) Purging gettext-envsubst (0.21.1-r9) (131/197) Purging libxml2-utils (2.11.4-r0) (132/197) Purging libxslt (1.1.38-r1) (133/197) Purging pcre2-dev (10.42-r1) (134/197) Purging libpcre2-16 (10.42-r1) (135/197) Purging libpcre2-32 (10.42-r1) (136/197) Purging libedit-dev (20221030.3.1-r2) (137/197) Purging ncurses-dev (6.4_p20230610-r0) (138/197) Purging libncurses++ (6.4_p20230610-r0) (139/197) Purging bsd-compat-headers (0.7.2-r5) (140/197) Purging expat-dev (2.5.0-r2) (141/197) Purging expat (2.5.0-r2) (142/197) Purging libxrender-dev (0.9.11-r4) (143/197) Purging libxrender (0.9.11-r4) (144/197) Purging libxext-dev (1.3.5-r3) (145/197) Purging libxext (1.3.5-r3) (146/197) Purging libx11-dev (1.8.5-r2) (147/197) Purging xtrans (1.5.0-r0) (148/197) Purging libx11 (1.8.5-r2) (149/197) Purging libxcb-dev (1.15-r3) (150/197) Purging libxcb (1.15-r3) (151/197) Purging xcb-proto (1.15.2-r2) (152/197) Purging python3 (3.11.4-r0) (153/197) Purging gdbm (1.23-r1) (154/197) Purging gettext-libs (0.21.1-r9) (155/197) Purging glib (2.76.3-r0) (156/197) Purging icu (73.2-r0) (157/197) Purging icu-libs (73.2-r0) (158/197) Purging icu-data-en (73.2-r0) (159/197) Purging util-linux-dev (2.39-r10) (160/197) Purging libfdisk (2.39-r10) (161/197) Purging libmount (2.39-r10) (162/197) Purging libsmartcols (2.39-r10) (163/197) Purging libblkid (2.39-r10) (164/197) Purging libxdmcp-dev (1.1.4-r3) (165/197) Purging libxdmcp (1.1.4-r3) (166/197) Purging libbsd (0.11.7-r2) (167/197) Purging libbz2 (1.0.8-r6) (168/197) Purging libffi-dev (3.4.4-r3) (169/197) Purging linux-headers (6.3-r0) (170/197) Purging libffi (3.4.4-r3) (171/197) Purging libformw (6.4_p20230610-r0) (172/197) Purging libgcrypt (1.10.2-r2) (173/197) Purging libgpg-error (1.47-r2) (174/197) Purging libsm (1.2.4-r3) (175/197) Purging libice (1.1.1-r5) (176/197) Purging libintl (0.21.1-r9) (177/197) Purging libjpeg-turbo-dev (2.1.5.1-r4) (178/197) Purging libjpeg-turbo (2.1.5.1-r4) (179/197) Purging libmd (1.1.0-r0) (180/197) Purging libmenuw (6.4_p20230610-r0) (181/197) Purging libpanelw (6.4_p20230610-r0) (182/197) Purging libpng-dev (1.6.39-r4) (183/197) Purging libpng (1.6.39-r4) (184/197) Purging libuuid (2.39-r10) (185/197) Purging libwebp-dev (1.3.0-r3) (186/197) Purging libwebp (1.3.0-r3) (187/197) Purging libxau-dev (1.0.11-r3) (188/197) Purging libxau (1.0.11-r3) (189/197) Purging libxml2 (2.11.4-r0) (190/197) Purging mpdecimal (2.5.1-r2) (191/197) Purging pixman-dev (0.42.2-r2) (192/197) Purging pixman (0.42.2-r2) (193/197) Purging readline (8.2.1-r2) (194/197) Purging sqlite-libs (3.42.0-r2) (195/197) Purging xorgproto (2023.1-r0) (196/197) Purging xz-libs (5.4.3-r1) (197/197) Purging zlib-dev (1.2.13-r2) Executing busybox-1.36.1-r1.trigger OK: 376 MiB in 100 packages >>> yosys: Updating the testing/aarch64 repository index... >>> yosys: Signing the index...