>>> yosys: Building testing/yosys 0.23-r0 (using abuild 3.10.0_rc1-r2) started Wed, 09 Nov 2022 14:54:45 +0000 >>> yosys: Checking sanity of /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base bash bison boost-dev clang flex gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev (1/179) Installing readline (8.2.0-r0) (2/179) Installing bash (5.2.9-r0) Executing bash-5.2.9-r0.post-install (3/179) Installing m4 (1.4.19-r1) (4/179) Installing bison (3.8.2-r0) (5/179) Installing boost1.80-atomic (1.80.0-r3) (6/179) Installing boost1.80-chrono (1.80.0-r3) (7/179) Installing boost1.80-container (1.80.0-r3) (8/179) Installing boost1.80-context (1.80.0-r3) (9/179) Installing boost1.80-contract (1.80.0-r3) (10/179) Installing boost1.80-coroutine (1.80.0-r3) (11/179) Installing boost1.80-date_time (1.80.0-r3) (12/179) Installing boost1.80-fiber (1.80.0-r3) (13/179) Installing boost1.80-filesystem (1.80.0-r3) (14/179) Installing boost1.80-graph (1.80.0-r3) (15/179) Installing libbz2 (1.0.8-r3) (16/179) Installing xz-libs (5.2.7-r0) (17/179) Installing boost1.80-iostreams (1.80.0-r3) (18/179) Installing boost1.80-locale (1.80.0-r3) (19/179) Installing boost1.80-thread (1.80.0-r3) (20/179) Installing boost1.80-log (1.80.0-r3) (21/179) Installing boost1.80-log_setup (1.80.0-r3) (22/179) Installing boost1.80-math (1.80.0-r3) (23/179) Installing boost1.80-prg_exec_monitor (1.80.0-r3) (24/179) Installing boost1.80-program_options (1.80.0-r3) (25/179) Installing libffi (3.4.4-r0) (26/179) Installing gdbm (1.23-r0) (27/179) Installing mpdecimal (2.5.1-r1) (28/179) Installing sqlite-libs (3.39.4-r0) (29/179) Installing python3 (3.10.8-r3) (30/179) Installing boost1.80-python3 (1.80.0-r3) (31/179) Installing boost1.80-random (1.80.0-r3) (32/179) Installing boost1.80-regex (1.80.0-r3) (33/179) Installing boost1.80-serialization (1.80.0-r3) (34/179) Installing boost1.80-stacktrace_basic (1.80.0-r3) (35/179) Installing boost1.80-stacktrace_noop (1.80.0-r3) (36/179) Installing boost1.80-system (1.80.0-r3) (37/179) Installing boost1.80-timer (1.80.0-r3) (38/179) Installing boost1.80-type_erasure (1.80.0-r3) (39/179) Installing boost1.80-unit_test_framework (1.80.0-r3) (40/179) Installing boost1.80-wave (1.80.0-r3) (41/179) Installing boost1.80-wserialization (1.80.0-r3) (42/179) Installing boost1.80-json (1.80.0-r3) (43/179) Installing boost1.80-nowide (1.80.0-r3) (44/179) Installing boost1.80-libs (1.80.0-r3) (45/179) Installing boost1.80 (1.80.0-r3) (46/179) Installing linux-headers (5.19.5-r0) (47/179) Installing bzip2-dev (1.0.8-r3) (48/179) Installing icu-data-en (72.1-r1) Executing icu-data-en-72.1-r1.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (49/179) Installing icu-libs (72.1-r1) (50/179) Installing icu (72.1-r1) (51/179) Installing icu-dev (72.1-r1) (52/179) Installing xz-dev (5.2.7-r0) (53/179) Installing zlib-dev (1.2.13-r0) (54/179) Installing boost1.80-dev (1.80.0-r3) (55/179) Installing boost-dev (1.80.0-r3) (56/179) Installing libxml2 (2.10.3-r1) (57/179) Installing llvm15-libs (15.0.4-r0) (58/179) Installing clang15-libs (15.0.4-r0) (59/179) Installing clang15 (15.0.4-r0) (60/179) Installing flex (2.6.4-r3) (61/179) Installing gawk (5.1.1-r1) (62/179) Installing cairo-tools (1.17.6-r3) (63/179) Installing libpng (1.6.38-r0) (64/179) Installing freetype (2.12.1-r0) (65/179) Installing fontconfig (2.14.1-r0) (66/179) Installing expat (2.5.0-r0) (67/179) Installing expat-dev (2.5.0-r0) (68/179) Installing brotli (1.0.9-r9) (69/179) Installing brotli-dev (1.0.9-r9) (70/179) Installing libpng-dev (1.6.38-r0) (71/179) Installing freetype-dev (2.12.1-r0) (72/179) Installing fontconfig-dev (2.14.1-r0) (73/179) Installing libxau (1.0.10-r0) (74/179) Installing xorgproto (2022.2-r0) (75/179) Installing libxau-dev (1.0.10-r0) (76/179) Installing libmd (1.0.4-r0) (77/179) Installing libbsd (0.11.7-r0) (78/179) Installing libxdmcp (1.1.3-r1) (79/179) Installing libxcb (1.15-r0) (80/179) Installing libx11 (1.8.1-r1) (81/179) Installing libxext (1.3.5-r0) (82/179) Installing xcb-proto (1.15.2-r0) (83/179) Installing libxdmcp-dev (1.1.3-r1) (84/179) Installing libxcb-dev (1.15-r0) (85/179) Installing xtrans (1.4.0-r2) (86/179) Installing libx11-dev (1.8.1-r1) (87/179) Installing libxext-dev (1.3.5-r0) (88/179) Installing libxrender (0.9.11-r0) (89/179) Installing libxrender-dev (0.9.11-r0) (90/179) Installing pixman (0.42.2-r0) (91/179) Installing pixman-dev (0.42.2-r0) (92/179) Installing util-macros (1.19.3-r0) (93/179) Installing xcb-util (0.4.0-r3) (94/179) Installing xcb-util-dev (0.4.0-r3) (95/179) Installing cairo (1.17.6-r3) (96/179) Installing libblkid (2.38.1-r0) (97/179) Installing libmount (2.38.1-r0) (98/179) Installing glib (2.74.1-r2) (99/179) Installing cairo-gobject (1.17.6-r3) (100/179) Installing libxml2-utils (2.10.3-r1) (101/179) Installing docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-install (102/179) Installing libgpg-error (1.46-r1) (103/179) Installing libgcrypt (1.10.1-r0) (104/179) Installing libxslt (1.1.37-r0) (105/179) Installing docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-install (106/179) Installing gettext-asprintf (0.21.1-r1) (107/179) Installing libunistring (1.1-r0) (108/179) Installing gettext-libs (0.21.1-r1) (109/179) Installing gettext (0.21.1-r1) (110/179) Installing gettext-dev (0.21.1-r1) (111/179) Installing libffi-dev (3.4.4-r0) (112/179) Installing bsd-compat-headers (0.7.2-r3) (113/179) Installing ncurses-dev (6.3_p20221029-r0) (114/179) Installing libedit-dev (20221030.3.1-r0) (115/179) Installing libpcre2-16 (10.40-r0) (116/179) Installing libpcre2-32 (10.40-r0) (117/179) Installing pcre2-dev (10.40-r0) (118/179) Installing libuuid (2.38.1-r0) (119/179) Installing libfdisk (2.38.1-r0) (120/179) Installing libsmartcols (2.38.1-r0) (121/179) Installing util-linux-dev (2.38.1-r0) (122/179) Installing glib-dev (2.74.1-r2) (123/179) Installing cairo-dev (1.17.6-r3) (124/179) Installing libice (1.0.10-r1) (125/179) Installing libsm (1.2.3-r1) (126/179) Installing libxt (1.2.1-r0) (127/179) Installing libxpm (3.5.13-r1) (128/179) Installing aom-libs (3.5.0-r0) (129/179) Installing libdav1d (1.0.0-r2) (130/179) Installing libavif (0.11.1-r0) (131/179) Installing libjpeg-turbo (2.1.4-r0) (132/179) Installing libwebp (1.2.4-r1) (133/179) Installing tiff (4.4.0-r1) (134/179) Installing libgd (2.3.3-r3) (135/179) Installing gd (2.3.3-r3) (136/179) Installing perl (5.36.0-r0) (137/179) Installing libavif-dev (0.11.1-r0) (138/179) Installing libjpeg-turbo-dev (2.1.4-r0) (139/179) Installing libwebp-dev (1.2.4-r1) (140/179) Installing zstd-dev (1.5.2-r9) (141/179) Installing libtiffxx (4.4.0-r1) (142/179) Installing tiff-dev (4.4.0-r1) (143/179) Installing libxpm-dev (3.5.13-r1) (144/179) Installing gd-dev (2.3.3-r3) (145/179) Installing libgmpxx (6.2.1-r2) (146/179) Installing gmp-dev (6.2.1-r2) (147/179) Installing libice-dev (1.0.10-r1) (148/179) Installing libsm-dev (1.2.3-r1) (149/179) Installing libxft (2.3.6-r0) (150/179) Installing graphite2 (1.3.14-r2) (151/179) Installing harfbuzz (5.3.1-r1) (152/179) Installing fribidi (1.0.12-r0) (153/179) Installing pango (1.50.11-r0) (154/179) Installing pango-tools (1.50.11-r0) (155/179) Installing fribidi-dev (1.0.12-r0) (156/179) Installing harfbuzz-icu (5.3.1-r1) (157/179) Installing graphite2-dev (1.3.14-r2) (158/179) Installing harfbuzz-dev (5.3.1-r1) (159/179) Installing libxft-dev (2.3.6-r0) (160/179) Installing pango-dev (1.50.11-r0) (161/179) Installing python3-dev (3.10.8-r3) (162/179) Installing graphviz-libs (7.0.0-r0) (163/179) Installing graphviz-dev (7.0.0-r0) (164/179) Installing lld-libs (15.0.4-r0) (165/179) Installing lld (15.0.4-r0) (166/179) Installing libprotobuf (3.21.9-r0) (167/179) Installing libprotoc (3.21.9-r0) (168/179) Installing protoc (3.21.9-r0) (169/179) Installing libprotobuf-lite (3.21.9-r0) (170/179) Installing protobuf-dev (3.21.9-r0) (171/179) Installing libhistory (8.2.0-r0) (172/179) Installing readline-dev (8.2.0-r0) (173/179) Installing tzdata (2022f-r1) (174/179) Installing tcl (8.6.12-r1) (175/179) Installing tcl-dev (8.6.12-r1) (176/179) Installing .makedepends-yosys (20221109.145446) (177/179) Installing perl-error (0.17029-r1) (178/179) Installing perl-git (2.38.1-r0) (179/179) Installing git-perl (2.38.1-r0) Executing busybox-1.35.0-r27.trigger Executing glib-2.74.1-r2.trigger No schema files found: doing nothing. OK: 1021 MiB in 276 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.23.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 146 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 curl: (22) The requested URL returned error: 404 >>> yosys: Fetching https://github.com/YosysHQ/yosys/archive/refs/tags/yosys-0.23.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- 0:00:01 --:--:-- 0 100 450k 0 450k 0 0 194k 0 --:--:-- 0:00:02 --:--:-- 444k 100 2131k 0 2131k 0 0 629k 0 --:--:-- 0:00:03 --:--:-- 1023k 100 2313k 0 2313k 0 0 640k 0 --:--:-- 0:00:03 --:--:-- 1002k >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.23-abc.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 146 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 curl: (22) The requested URL returned error: 404 >>> yosys: Fetching yosys-0.23-abc.tar.gz::https://github.com/YosysHQ/yosys/releases/download/yosys-0.23/abc.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 5905k 0 0 0 0 0 0 --:--:-- 0:00:01 --:--:-- 0 16 5905k 16 959k 0 0 462k 0 0:00:12 0:00:02 0:00:10 1291k 100 5905k 100 5905k 0 0 2329k 0 0:00:02 0:00:02 --:--:-- 4909k >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.23.tar.gz >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.23-abc.tar.gz >>> yosys: Checking sha512sums... yosys-0.23.tar.gz: OK yosys-0.23-abc.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/yosys-0.23.tar.gz... >>> yosys: Unpacking /var/cache/distfiles/yosys-0.23-abc.tar.gz... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.10 -lboost_python310 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [ 0%] Building kernel/version_7ce5011c24b.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/binding.o [ 3%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 3%] Building kernel/satgen.o [ 4%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 4%] Building kernel/ffmerge.o [ 5%] Building kernel/ff.o [ 5%] Building kernel/fstdata.o [ 5%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 6%] Building libs/bigint/BigIntegerUtils.o [ 6%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 7%] Building libs/sha1/sha1.o [ 7%] Building libs/json11/json11.o [ 8%] Building libs/subcircuit/subcircuit.o [ 8%] Building libs/ezsat/ezsat.o [ 8%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o [ 9%] Building libs/minisat/Solver.o [ 10%] Building libs/minisat/System.o [ 10%] Building libs/fst/fstapi.o [ 10%] Building libs/fst/fastlz.o [ 11%] Building libs/fst/lz4.o [ 11%] Building frontends/aiger/aigerparse.o [ 11%] Building frontends/ast/ast.o [ 12%] Building frontends/ast/simplify.o [ 12%] Building frontends/ast/genrtlil.o [ 12%] Building frontends/ast/dpicall.o [ 13%] Building frontends/ast/ast_binding.o [ 13%] Building frontends/blif/blifparse.o [ 13%] Building frontends/json/jsonparse.o [ 14%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 15%] Building frontends/verific/verific.o [ 15%] Building frontends/verilog/verilog_parser.tab.cc [ 16%] Building frontends/verilog/preproc.o [ 17%] Building frontends/verilog/verilog_frontend.o libs/fst/fstapi.cc:5539:18: warning: variable 'secnum' set but not used [-Wunused-but-set-variable] unsigned int secnum = 0; ^ [ 17%] Building frontends/verilog/const2ast.o [ 17%] Building passes/cmds/exec.o [ 18%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o [ 18%] Building passes/cmds/design.o [ 19%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 19%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 20%] Building passes/cmds/connect.o [ 20%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 21%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 22%] Building passes/cmds/copy.o [ 22%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 23%] Building passes/cmds/glift.o [ 23%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 24%] Building passes/cmds/tee.o [ 24%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o [ 26%] Building passes/cmds/plugin.o [ 26%] Building passes/cmds/check.o [ 26%] Building passes/cmds/qwp.o [ 27%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o 1 warning generated. [ 27%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o [ 28%] Building passes/cmds/blackbox.o [ 28%] Building passes/cmds/ltp.o [ 29%] Building passes/cmds/bugpoint.o [ 29%] Building passes/cmds/scratchpad.o [ 29%] Building passes/cmds/logger.o [ 30%] Building passes/cmds/printattrs.o [ 30%] Building passes/cmds/sta.o [ 30%] Building passes/cmds/clean_zerowidth.o [ 31%] Building passes/equiv/equiv_make.o [ 31%] Building passes/equiv/equiv_miter.o [ 31%] Building passes/equiv/equiv_simple.o [ 32%] Building passes/equiv/equiv_status.o [ 32%] Building passes/equiv/equiv_add.o [ 32%] Building passes/equiv/equiv_remove.o [ 33%] Building passes/equiv/equiv_induct.o [ 33%] Building passes/equiv/equiv_struct.o [ 33%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o [ 34%] Building passes/equiv/equiv_opt.o [ 34%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 35%] Building passes/fsm/fsm_extract.o [ 35%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 36%] Building passes/fsm/fsm_recode.o [ 36%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 37%] Building passes/fsm/fsm_map.o [ 37%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 38%] Building passes/hierarchy/submod.o [ 38%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 39%] Building passes/memory/memory_share.o [ 39%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 40%] Building passes/memory/memory_bram.o [ 40%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o [ 41%] Building passes/memory/memory_narrow.o [ 42%] Building passes/memory/memory_libmap.o [ 42%] Building passes/memory/memory_bmux2rom.o [ 42%] Building passes/memory/memlib.o [ 43%] Building passes/opt/opt.o [ 43%] Building passes/opt/opt_merge.o [ 43%] Building passes/opt/opt_mem.o [ 44%] Building passes/opt/opt_mem_feedback.o [ 44%] Building passes/opt/opt_mem_priority.o [ 44%] Building passes/opt/opt_mem_widen.o [ 45%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 46%] Building passes/opt/opt_share.o [ 46%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 47%] Building passes/opt/share.o [ 47%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o [ 48%] Building passes/opt/rmports.o [ 48%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o [ 49%] Building passes/opt/opt_ffinv.o [ 49%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 49%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_pm.h [ 49%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 51%] Building passes/pmgen/peepopt.o [ 51%] Building passes/pmgen/xilinx_srl.o [ 52%] Building passes/proc/proc.o [ 52%] Building passes/proc/proc_prune.o [ 52%] Building passes/proc/proc_clean.o [ 53%] Building passes/proc/proc_rmdead.o [ 53%] Building passes/proc/proc_init.o [ 53%] Building passes/proc/proc_arst.o [ 54%] Building passes/proc/proc_rom.o [ 54%] Building passes/proc/proc_mux.o [ 54%] Building passes/proc/proc_dlatch.o [ 55%] Building passes/proc/proc_dff.o [ 55%] Building passes/proc/proc_memwr.o [ 55%] Building passes/sat/sat.o [ 56%] Building passes/sat/freduce.o [ 56%] Building passes/sat/eval.o [ 56%] Building passes/sat/sim.o [ 57%] Building passes/sat/miter.o [ 57%] Building passes/sat/expose.o [ 57%] Building passes/sat/assertpmux.o [ 58%] Building passes/sat/clk2fflogic.o [ 58%] Building passes/sat/async2sync.o [ 58%] Building passes/sat/formalff.o [ 59%] Building passes/sat/supercover.o [ 59%] Building passes/sat/fmcombine.o [ 59%] Building passes/sat/mutate.o [ 60%] Building passes/sat/cutpoint.o [ 60%] Building passes/sat/fminit.o [ 60%] Building passes/sat/qbfsat.o [ 61%] Building passes/techmap/flatten.o [ 61%] Building passes/techmap/techmap.o [ 61%] Building passes/techmap/simplemap.o [ 62%] Building passes/techmap/dfflibmap.o [ 62%] Building passes/techmap/maccmap.o [ 62%] Building passes/techmap/libparse.o [ 63%] Building passes/techmap/abc.o [ 63%] Building passes/techmap/abc9.o [ 63%] Building passes/techmap/abc9_exe.o [ 64%] Building passes/techmap/abc9_ops.o [ 64%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 65%] Building passes/techmap/hilomap.o [ 65%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o [ 66%] Building passes/techmap/extract_counter.o [ 66%] Building passes/techmap/extract_reduce.o [ 66%] Building passes/techmap/alumacc.o [ 67%] Building passes/techmap/dffinit.o [ 67%] Building passes/techmap/pmuxtree.o [ 67%] Building passes/techmap/bmuxmap.o [ 68%] Building passes/techmap/demuxmap.o [ 68%] Building passes/techmap/muxcover.o [ 68%] Building passes/techmap/aigmap.o [ 69%] Building passes/techmap/tribuf.o [ 69%] Building passes/techmap/lut2mux.o [ 69%] Building passes/techmap/nlutmap.o [ 70%] Building passes/techmap/shregmap.o [ 70%] Building passes/techmap/deminout.o [ 70%] Building passes/techmap/insbuf.o [ 71%] Building passes/techmap/attrmvcp.o [ 71%] Building passes/techmap/attrmap.o [ 71%] Building passes/techmap/zinit.o [ 72%] Building passes/techmap/dfflegalize.o [ 72%] Building passes/techmap/dffunmap.o [ 72%] Building passes/techmap/flowmap.o [ 73%] Building passes/techmap/extractinv.o [ 73%] Building passes/tests/test_autotb.o [ 73%] Building passes/tests/test_cell.o [ 74%] Building passes/tests/test_abcloop.o [ 74%] Building backends/aiger/aiger.o [ 74%] Building backends/aiger/xaiger.o [ 75%] Building backends/blif/blif.o [ 75%] Building backends/btor/btor.o [ 75%] Building backends/cxxrtl/cxxrtl_backend.o [ 76%] Building backends/edif/edif.o [ 76%] Building backends/firrtl/firrtl.o [ 76%] Building backends/intersynth/intersynth.o [ 77%] Building backends/jny/jny.o [ 77%] Building backends/json/json.o [ 77%] Building backends/rtlil/rtlil_backend.o [ 78%] Building backends/simplec/simplec.o [ 78%] Building backends/smt2/smt2.o [ 78%] Building backends/smv/smv.o [ 79%] Building backends/spice/spice.o [ 79%] Building backends/table/table.o [ 79%] Building backends/verilog/verilog_backend.o [ 80%] Building techlibs/achronix/synth_achronix.o [ 80%] Building techlibs/anlogic/synth_anlogic.o [ 80%] Building techlibs/anlogic/anlogic_eqn.o [ 81%] Building techlibs/anlogic/anlogic_fixcarry.o [ 81%] Building techlibs/common/synth.o [ 81%] Building techlibs/common/prep.o [ 82%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 82%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 82%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 83%] Building techlibs/easic/synth_easic.o [ 83%] Building techlibs/ecp5/synth_ecp5.o [ 83%] Building techlibs/ecp5/ecp5_gsr.o [ 84%] Building techlibs/efinix/synth_efinix.o [ 84%] Building techlibs/efinix/efinix_fixcarry.o [ 84%] Building techlibs/gatemate/synth_gatemate.o [ 85%] Building techlibs/gatemate/gatemate_foldinv.o [ 85%] Building techlibs/gowin/synth_gowin.o [ 85%] Building techlibs/greenpak4/synth_greenpak4.o [ 86%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 86%] Building techlibs/ice40/synth_ice40.o [ 86%] Building techlibs/ice40/ice40_braminit.o [ 87%] Building techlibs/ice40/ice40_opt.o [ 87%] Building techlibs/intel/synth_intel.o [ 87%] Building techlibs/intel_alm/synth_intel_alm.o [ 88%] Building techlibs/machxo2/synth_machxo2.o [ 88%] Building techlibs/nexus/synth_nexus.o [ 88%] Building techlibs/quicklogic/synth_quicklogic.o [ 89%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/machxo2/cells_map.v [ 99%] Building share/machxo2/cells_sim.v [ 99%] Building share/machxo2/lutrams.txt [ 99%] Building share/machxo2/lutrams_map.v [ 99%] Building share/machxo2/brams.txt [ 99%] Building share/machxo2/brams_map.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/pp3_ffs_map.v [ 99%] Building share/quicklogic/pp3_lut_map.v [ 99%] Building share/quicklogic/pp3_latches_map.v [ 99%] Building share/quicklogic/pp3_cells_map.v [ 99%] Building share/quicklogic/cells_sim.v [ 99%] Building share/quicklogic/lut_sim.v [ 99%] Building share/quicklogic/pp3_cells_sim.v [ 99%] Building share/quicklogic/abc9_model.v [ 99%] Building share/quicklogic/abc9_map.v [ 99%] Building share/quicklogic/abc9_unmap.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_7ce5011c24b.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_dsp.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 99%] Building passes/pmgen/xilinx_dsp.o [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building frontends/verilog/verilog_lexer.o frontends/verilog/verilog_parser.tab.cc:3534:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable] int yynerrs = 0; ^ frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs' #define yynerrs frontend_verilog_yynerrs ^ 1 warning generated. [ 99%] Building kernel/python_wrappers.o [100%] Building yosys [100%] Building libyosys.so Build successful. >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.10 -lboost_python310 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.10/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.10/site-packages/pyosys/libyosys.so cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.10/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.10/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.10' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.10' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Stripping binaries >>> WARNING: py3-yosys*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> py3-yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> yosys*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.23-r0 yosys=0.23-r0 >>> py3-yosys*: Package size: 28.0 KB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.23-r0.apk >>> yosys-dev*: Tracing dependencies... >>> yosys-dev*: Package size: 560.0 KB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.23-r0.apk >>> yosys*: Tracing dependencies... so:libboost_filesystem.so.1.80.0 so:libboost_python310.so.1.80.0 so:libc.musl-ppc64le.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.10.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 48.6 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.23-r0.apk >>> yosys: Build complete at Wed, 09 Nov 2022 15:02:40 +0000 elapsed time 0h 7m 55s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Uninstalling dependencies... (1/179) Purging .makedepends-yosys (20221109.145446) (2/179) Purging bash (5.2.9-r0) Executing bash-5.2.9-r0.pre-deinstall (3/179) Purging bison (3.8.2-r0) (4/179) Purging boost-dev (1.80.0-r3) (5/179) Purging boost1.80-dev (1.80.0-r3) (6/179) Purging boost1.80 (1.80.0-r3) (7/179) Purging boost1.80-libs (1.80.0-r3) (8/179) Purging boost1.80-atomic (1.80.0-r3) (9/179) Purging boost1.80-container (1.80.0-r3) (10/179) Purging boost1.80-contract (1.80.0-r3) (11/179) Purging boost1.80-coroutine (1.80.0-r3) (12/179) Purging boost1.80-date_time (1.80.0-r3) (13/179) Purging boost1.80-fiber (1.80.0-r3) (14/179) Purging boost1.80-graph (1.80.0-r3) (15/179) Purging boost1.80-iostreams (1.80.0-r3) (16/179) Purging boost1.80-json (1.80.0-r3) (17/179) Purging boost1.80-locale (1.80.0-r3) (18/179) Purging boost1.80-log_setup (1.80.0-r3) (19/179) Purging boost1.80-math (1.80.0-r3) (20/179) Purging boost1.80-nowide (1.80.0-r3) (21/179) Purging boost1.80-prg_exec_monitor (1.80.0-r3) (22/179) Purging boost1.80-program_options (1.80.0-r3) (23/179) Purging boost1.80-python3 (1.80.0-r3) (24/179) Purging boost1.80-random (1.80.0-r3) (25/179) Purging boost1.80-regex (1.80.0-r3) (26/179) Purging boost1.80-stacktrace_basic (1.80.0-r3) (27/179) Purging boost1.80-stacktrace_noop (1.80.0-r3) (28/179) Purging boost1.80-system (1.80.0-r3) (29/179) Purging boost1.80-timer (1.80.0-r3) (30/179) Purging boost1.80-type_erasure (1.80.0-r3) (31/179) Purging boost1.80-unit_test_framework (1.80.0-r3) (32/179) Purging boost1.80-wave (1.80.0-r3) (33/179) Purging boost1.80-wserialization (1.80.0-r3) (34/179) Purging flex (2.6.4-r3) (35/179) Purging m4 (1.4.19-r1) (36/179) Purging gawk (5.1.1-r1) (37/179) Purging graphviz-dev (7.0.0-r0) (38/179) Purging gd-dev (2.3.3-r3) (39/179) Purging gd (2.3.3-r3) (40/179) Purging libgd (2.3.3-r3) (41/179) Purging gmp-dev (6.2.1-r2) (42/179) Purging libgmpxx (6.2.1-r2) (43/179) Purging libsm-dev (1.2.3-r1) (44/179) Purging pango-dev (1.50.11-r0) (45/179) Purging pango-tools (1.50.11-r0) (46/179) Purging pango (1.50.11-r0) Executing pango-1.50.11-r0.pre-deinstall (47/179) Purging python3-dev (3.10.8-r3) (48/179) Purging graphviz-libs (7.0.0-r0) (49/179) Purging lld (15.0.4-r0) (50/179) Purging protobuf-dev (3.21.9-r0) (51/179) Purging protoc (3.21.9-r0) (52/179) Purging libprotobuf-lite (3.21.9-r0) (53/179) Purging libprotoc (3.21.9-r0) (54/179) Purging readline-dev (8.2.0-r0) (55/179) Purging libhistory (8.2.0-r0) (56/179) Purging tcl-dev (8.6.12-r1) (57/179) Purging tcl (8.6.12-r1) (58/179) Purging tzdata (2022f-r1) (59/179) Purging git-perl (2.38.1-r0) (60/179) Purging perl-git (2.38.1-r0) (61/179) Purging perl-error (0.17029-r1) (62/179) Purging perl (5.36.0-r0) (63/179) Purging cairo-dev (1.17.6-r3) (64/179) Purging cairo-tools (1.17.6-r3) (65/179) Purging xcb-util-dev (0.4.0-r3) (66/179) Purging util-macros (1.19.3-r0) (67/179) Purging xcb-util (0.4.0-r3) (68/179) Purging cairo-gobject (1.17.6-r3) (69/179) Purging cairo (1.17.6-r3) (70/179) Purging harfbuzz-dev (5.3.1-r1) (71/179) Purging harfbuzz-icu (5.3.1-r1) (72/179) Purging harfbuzz (5.3.1-r1) (73/179) Purging glib-dev (2.74.1-r2) (74/179) Purging bzip2-dev (1.0.8-r3) (75/179) Purging docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-deinstall (76/179) Purging docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-deinstall (77/179) Purging gettext-dev (0.21.1-r1) (78/179) Purging gettext-asprintf (0.21.1-r1) (79/179) Purging gettext (0.21.1-r1) (80/179) Purging libxml2-utils (2.10.3-r1) (81/179) Purging libxslt (1.1.37-r0) (82/179) Purging python3 (3.10.8-r3) (83/179) Purging readline (8.2.0-r0) (84/179) Purging boost1.80-chrono (1.80.0-r3) (85/179) Purging boost1.80-context (1.80.0-r3) (86/179) Purging boost1.80-log (1.80.0-r3) (87/179) Purging boost1.80-filesystem (1.80.0-r3) (88/179) Purging graphite2-dev (1.3.14-r2) (89/179) Purging graphite2 (1.3.14-r2) (90/179) Purging libxft-dev (2.3.6-r0) (91/179) Purging libxft (2.3.6-r0) (92/179) Purging fontconfig-dev (2.14.1-r0) (93/179) Purging fontconfig (2.14.1-r0) (94/179) Purging freetype-dev (2.12.1-r0) (95/179) Purging freetype (2.12.1-r0) (96/179) Purging libbz2 (1.0.8-r3) (97/179) Purging tiff-dev (4.4.0-r1) (98/179) Purging libjpeg-turbo-dev (2.1.4-r0) (99/179) Purging libwebp-dev (1.2.4-r1) (100/179) Purging zstd-dev (1.5.2-r9) (101/179) Purging libtiffxx (4.4.0-r1) (102/179) Purging tiff (4.4.0-r1) (103/179) Purging xz-dev (5.2.7-r0) (104/179) Purging clang15 (15.0.4-r0) (105/179) Purging lld-libs (15.0.4-r0) (106/179) Purging clang15-libs (15.0.4-r0) (107/179) Purging llvm15-libs (15.0.4-r0) (108/179) Purging libxml2 (2.10.3-r1) (109/179) Purging xz-libs (5.2.7-r0) (110/179) Purging boost1.80-thread (1.80.0-r3) (111/179) Purging libffi-dev (3.4.4-r0) (112/179) Purging linux-headers (5.19.5-r0) (113/179) Purging glib (2.74.1-r2) (114/179) Purging libffi (3.4.4-r0) (115/179) Purging gdbm (1.23-r0) (116/179) Purging mpdecimal (2.5.1-r1) (117/179) Purging sqlite-libs (3.39.4-r0) (118/179) Purging boost1.80-serialization (1.80.0-r3) (119/179) Purging icu-dev (72.1-r1) (120/179) Purging icu (72.1-r1) (121/179) Purging icu-libs (72.1-r1) (122/179) Purging icu-data-en (72.1-r1) (123/179) Purging pcre2-dev (10.40-r0) (124/179) Purging libedit-dev (20221030.3.1-r0) (125/179) Purging bsd-compat-headers (0.7.2-r3) (126/179) Purging ncurses-dev (6.3_p20221029-r0) (127/179) Purging libpcre2-16 (10.40-r0) (128/179) Purging libpcre2-32 (10.40-r0) (129/179) Purging libpng-dev (1.6.38-r0) (130/179) Purging libpng (1.6.38-r0) (131/179) Purging zlib-dev (1.2.13-r0) (132/179) Purging expat-dev (2.5.0-r0) (133/179) Purging expat (2.5.0-r0) (134/179) Purging brotli-dev (1.0.9-r9) (135/179) Purging brotli (1.0.9-r9) (136/179) Purging libxpm-dev (3.5.13-r1) (137/179) Purging libxpm (3.5.13-r1) (138/179) Purging libxrender-dev (0.9.11-r0) (139/179) Purging libxrender (0.9.11-r0) (140/179) Purging libxext-dev (1.3.5-r0) (141/179) Purging libxext (1.3.5-r0) (142/179) Purging libx11-dev (1.8.1-r1) (143/179) Purging xtrans (1.4.0-r2) (144/179) Purging libxcb-dev (1.15-r0) (145/179) Purging xcb-proto (1.15.2-r0) (146/179) Purging libxau-dev (1.0.10-r0) (147/179) Purging libxt (1.2.1-r0) (148/179) Purging libx11 (1.8.1-r1) (149/179) Purging libxcb (1.15-r0) (150/179) Purging libxau (1.0.10-r0) (151/179) Purging libice-dev (1.0.10-r1) (152/179) Purging libxdmcp-dev (1.1.3-r1) (153/179) Purging libxdmcp (1.1.3-r1) (154/179) Purging xorgproto (2022.2-r0) (155/179) Purging libbsd (0.11.7-r0) (156/179) Purging libmd (1.0.4-r0) (157/179) Purging pixman-dev (0.42.2-r0) (158/179) Purging pixman (0.42.2-r0) (159/179) Purging util-linux-dev (2.38.1-r0) (160/179) Purging libfdisk (2.38.1-r0) (161/179) Purging libmount (2.38.1-r0) (162/179) Purging libsmartcols (2.38.1-r0) (163/179) Purging libblkid (2.38.1-r0) (164/179) Purging libgcrypt (1.10.1-r0) (165/179) Purging libgpg-error (1.46-r1) (166/179) Purging gettext-libs (0.21.1-r1) (167/179) Purging libunistring (1.1-r0) (168/179) Purging libsm (1.2.3-r1) (169/179) Purging libuuid (2.38.1-r0) (170/179) Purging libice (1.0.10-r1) (171/179) Purging libavif-dev (0.11.1-r0) (172/179) Purging libavif (0.11.1-r0) (173/179) Purging aom-libs (3.5.0-r0) (174/179) Purging libdav1d (1.0.0-r2) (175/179) Purging libjpeg-turbo (2.1.4-r0) (176/179) Purging libwebp (1.2.4-r1) (177/179) Purging fribidi-dev (1.0.12-r0) (178/179) Purging fribidi (1.0.12-r0) (179/179) Purging libprotobuf (3.21.9-r0) Executing busybox-1.35.0-r27.trigger OK: 293 MiB in 97 packages >>> yosys: Updating the testing/ppc64le repository index... >>> yosys: Signing the index...