>>> py3-litex-hub-modules: Building testing/py3-litex-hub-modules 2023.12-r2 (using abuild 3.12.0-r2) started Fri, 19 Jan 2024 03:05:19 +0000 >>> py3-litex-hub-modules: Checking sanity of /home/buildozer/aports/testing/py3-litex-hub-modules/APKBUILD... >>> py3-litex-hub-modules: Analyzing dependencies... >>> py3-litex-hub-modules: Installing for build: build-base python3 py3-setuptools py3-gpep517 py3-wheel py3-installer (1/25) Installing libbz2 (1.0.8-r6) (2/25) Installing libffi (3.4.4-r3) (3/25) Installing gdbm (1.23-r1) (4/25) Installing xz-libs (5.4.5-r0) (5/25) Installing mpdecimal (2.5.1-r2) (6/25) Installing libpanelw (6.4_p20231125-r0) (7/25) Installing readline (8.2.10-r0) (8/25) Installing sqlite-libs (3.45.0-r0) (9/25) Installing python3 (3.11.6-r1) (10/25) Installing python3-pycache-pyc0 (3.11.6-r1) (11/25) Installing pyc (3.11.6-r1) (12/25) Installing python3-pyc (3.11.6-r1) (13/25) Installing py3-parsing (3.1.1-r0) (14/25) Installing py3-parsing-pyc (3.1.1-r0) (15/25) Installing py3-packaging (23.2-r0) (16/25) Installing py3-packaging-pyc (23.2-r0) (17/25) Installing py3-setuptools (69.0.3-r0) (18/25) Installing py3-setuptools-pyc (69.0.3-r0) (19/25) Installing py3-installer (0.7.0-r1) (20/25) Installing py3-installer-pyc (0.7.0-r1) (21/25) Installing py3-gpep517 (15-r1) (22/25) Installing py3-gpep517-pyc (15-r1) (23/25) Installing py3-wheel (0.42.0-r0) (24/25) Installing py3-wheel-pyc (0.42.0-r0) (25/25) Installing .makedepends-py3-litex-hub-modules (20240119.030526) Executing busybox-1.36.1-r19.trigger OK: 278 MiB in 127 packages >>> py3-litex-hub-modules: Cleaning up srcdir >>> py3-litex-hub-modules: Cleaning up pkgdir >>> py3-litex-hub-modules: Cleaning up tmpdir >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-blackparrot-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e40p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e41p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva5-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva6-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-ibex-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-lm32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-marocchino-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-microwatt-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-minerva-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-mor1kx-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-naxriscv-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-picorv32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-rocket-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-serv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv_smp-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-misc-tapcfg-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-compiler_rt-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-picolibc-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/valentyusb-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-blackparrot-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e40p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e41p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva5-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva6-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-ibex-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-lm32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-marocchino-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-microwatt-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-minerva-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-mor1kx-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-naxriscv-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-picorv32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-rocket-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-serv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv_smp-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-misc-tapcfg-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-compiler_rt-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-picolibc-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/valentyusb-2023.12.tar.gz >>> py3-litex-hub-modules: Checking sha512sums... picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz: OK fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz: OK riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz: OK trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz: OK common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz: OK fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz: OK 0001-fix-pythondata_software_compiler_rt-python3.patch: OK 0002-fix-pythondata-cpu-blackparrot-python3.patch: OK pythondata-cpu-blackparrot-2023.12.tar.gz: OK pythondata-cpu-cv32e40p-2023.12.tar.gz: OK pythondata-cpu-cv32e41p-2023.12.tar.gz: OK pythondata-cpu-cva5-2023.12.tar.gz: OK pythondata-cpu-cva6-2023.12.tar.gz: OK pythondata-cpu-ibex-2023.12.tar.gz: OK pythondata-cpu-lm32-2020.04.tar.gz: OK pythondata-cpu-marocchino-2023.12.tar.gz: OK pythondata-cpu-microwatt-2023.12.tar.gz: OK pythondata-cpu-minerva-2023.12.tar.gz: OK pythondata-cpu-mor1kx-2020.04.tar.gz: OK pythondata-cpu-naxriscv-2023.12.tar.gz: OK pythondata-cpu-picorv32-2020.04.tar.gz: OK pythondata-cpu-rocket-2020.04.tar.gz: OK pythondata-cpu-serv-2020.04.tar.gz: OK pythondata-cpu-vexriscv-2020.04.tar.gz: OK pythondata-cpu-vexriscv_smp-2023.12.tar.gz: OK pythondata-misc-tapcfg-2020.04.tar.gz: OK pythondata-software-compiler_rt-2020.04.tar.gz: OK pythondata-software-picolibc-2023.12.tar.gz: OK valentyusb-2023.12.tar.gz: OK >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-blackparrot-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cv32e40p-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cv32e41p-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cva5-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cva6-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-ibex-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-lm32-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-marocchino-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-microwatt-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-minerva-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-mor1kx-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-naxriscv-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-picorv32-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-rocket-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-serv-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-vexriscv-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-vexriscv_smp-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-misc-tapcfg-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-software-compiler_rt-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-software-picolibc-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/valentyusb-2023.12.tar.gz... >>> py3-litex-hub-modules: 0001-fix-pythondata_software_compiler_rt-python3.patch patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py >>> py3-litex-hub-modules: 0002-fix-pythondata-cpu-blackparrot-python3.patch patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py --> Building LiteX Hub module pythondata-cpu-blackparrot 2024-01-19 03:05:41,896 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:05:42,162 root INFO running bdist_wheel 2024-01-19 03:05:42,237 root INFO running build 2024-01-19 03:05:42,238 root INFO running build_py 2024-01-19 03:05:42,248 root INFO creating build 2024-01-19 03:05:42,249 root INFO creating build/lib 2024-01-19 03:05:42,249 root INFO creating build/lib/pythondata_cpu_blackparrot 2024-01-19 03:05:42,250 root INFO copying pythondata_cpu_blackparrot/__init__.py -> build/lib/pythondata_cpu_blackparrot 2024-01-19 03:05:42,261 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog 2024-01-19 03:05:42,261 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:42,262 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top 2024-01-19 03:05:42,262 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software 2024-01-19 03:05:42,262 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 03:05:42,263 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 03:05:42,267 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common 2024-01-19 03:05:42,267 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software 2024-01-19 03:05:42,268 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:42,268 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:42,269 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:42,270 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:42,275 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 03:05:42,275 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test 2024-01-19 03:05:42,275 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb 2024-01-19 03:05:42,276 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:42,276 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:42,277 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:42,285 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external 2024-01-19 03:05:42,286 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:42,286 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:42,286 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:42,290 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:42,291 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:42,293 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:42,293 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:42,295 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:42,295 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:42,311 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 03:05:42,311 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test 2024-01-19 03:05:42,311 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,312 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,313 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,313 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,314 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,315 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,317 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:42,319 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:42,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:42,320 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:42,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:42,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:42,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:42,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:42,325 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:42,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:42,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:42,328 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:42,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:42,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:42,337 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem 2024-01-19 03:05:42,337 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:42,337 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:42,343 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 03:05:42,344 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:42,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:42,362 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache 2024-01-19 03:05:42,362 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,363 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,365 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,368 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,370 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,379 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,380 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:42,386 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:42,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:42,387 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:42,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:42,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:42,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:42,392 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,392 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,393 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,403 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,406 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:42,409 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:42,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:42,411 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:42,411 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:42,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:42,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:42,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:42,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:42,416 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:42,426 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:42,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:42,429 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:42,430 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy 2024-01-19 03:05:42,430 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 03:05:42,430 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:42,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:42,437 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard 2024-01-19 03:05:42,437 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 2024-01-19 03:05:42,438 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:42,438 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:42,440 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:42,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:42,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:42,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:42,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:42,444 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:42,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:42,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:42,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:42,447 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:42,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:42,450 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 2024-01-19 03:05:42,451 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:42,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:42,453 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:42,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:42,454 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:42,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:42,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:42,456 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 03:05:42,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 03:05:42,459 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:42,459 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:42,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:42,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:42,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:42,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:42,466 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 03:05:42,467 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:42,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:42,468 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:42,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:42,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:42,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:42,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:42,479 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 03:05:42,479 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test 2024-01-19 03:05:42,480 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb 2024-01-19 03:05:42,480 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:42,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:42,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:42,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:42,484 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software 2024-01-19 03:05:42,484 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:42,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:42,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:42,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:42,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:42,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:42,492 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 03:05:42,492 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test 2024-01-19 03:05:42,493 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb 2024-01-19 03:05:42,493 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:42,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:42,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:42,505 root INFO running egg_info 2024-01-19 03:05:42,506 root INFO creating pythondata_cpu_blackparrot.egg-info 2024-01-19 03:05:42,512 root INFO writing pythondata_cpu_blackparrot.egg-info/PKG-INFO 2024-01-19 03:05:42,515 root INFO writing dependency_links to pythondata_cpu_blackparrot.egg-info/dependency_links.txt 2024-01-19 03:05:42,517 root INFO writing top-level names to pythondata_cpu_blackparrot.egg-info/top_level.txt 2024-01-19 03:05:42,518 root INFO writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-01-19 03:05:42,729 root INFO reading manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-01-19 03:05:42,731 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:05:42,852 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:05:42,852 root INFO adding license file 'LICENSE' 2024-01-19 03:05:42,995 root INFO writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-01-19 03:05:43,317 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:43,318 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:43,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:43,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:43,320 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:43,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,324 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:43,327 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 03:05:43,327 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 03:05:43,328 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:43,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:43,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:43,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:43,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:43,332 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 03:05:43,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 03:05:43,333 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 03:05:43,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 03:05:43,334 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 03:05:43,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 03:05:43,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 03:05:43,336 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,336 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,337 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,340 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,346 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,349 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:43,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 03:05:43,353 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,354 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,361 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,363 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,370 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:43,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 03:05:43,382 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:43,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:43,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:43,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:43,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:43,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:43,386 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src 2024-01-19 03:05:43,386 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 03:05:43,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 03:05:43,387 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 03:05:43,388 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,391 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,391 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,392 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,393 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,403 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,406 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:43,407 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test 2024-01-19 03:05:43,407 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,408 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,408 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,410 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,411 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:43,418 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb 2024-01-19 03:05:43,418 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,428 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:43,431 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,435 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:43,438 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src 2024-01-19 03:05:43,438 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,438 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,443 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,444 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,447 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,452 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,452 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,454 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:43,455 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,456 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,459 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,464 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,466 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:43,466 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:43,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:43,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:43,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:43,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:43,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:43,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:43,471 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 03:05:43,472 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 03:05:43,473 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 03:05:43,473 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:43,474 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:43,474 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:43,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:43,476 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:43,477 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src 2024-01-19 03:05:43,477 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:43,477 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:43,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:43,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:43,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:43,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:43,481 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 03:05:43,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 03:05:43,482 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:43,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:43,484 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:43,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:43,485 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,489 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,490 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,490 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,491 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,492 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:43,495 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,495 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,496 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,497 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,499 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,500 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,501 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:43,501 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,502 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,503 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,504 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,504 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,505 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,506 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,507 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,507 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:43,509 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:43,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:43,510 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:43,511 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:43,511 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:43,512 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:43,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:43,514 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:43,514 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:43,515 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:43,516 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,517 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,518 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,521 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,523 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,525 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,525 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,526 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,528 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:43,528 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:43,529 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:43,530 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:43,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:43,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:43,532 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 03:05:43,533 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 03:05:43,533 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 03:05:43,534 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 03:05:43,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 03:05:43,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 03:05:43,536 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 03:05:43,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 03:05:43,537 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 03:05:43,538 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test 2024-01-19 03:05:43,538 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,539 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,539 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,540 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,544 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,547 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,548 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,551 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,553 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,557 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:43,558 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,558 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,560 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,562 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,563 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,568 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,568 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,569 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,571 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,577 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,580 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,581 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,581 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,582 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,583 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,584 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,585 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,585 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,586 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,587 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,588 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,588 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,589 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,590 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,591 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,591 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,592 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,593 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,594 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,594 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,595 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,596 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,597 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,597 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,598 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,599 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,600 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,601 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,601 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,602 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,603 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,604 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,604 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,605 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,606 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,607 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,607 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,608 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,609 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,610 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,610 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,611 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,612 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,613 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,613 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,614 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:43,615 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,615 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,616 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,617 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,618 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,618 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,619 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,620 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,621 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,622 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,622 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,623 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:43,624 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:43,624 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:43,625 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:43,626 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:43,627 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:43,627 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:43,628 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,628 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,629 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,630 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,631 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,632 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,632 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,633 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,634 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,634 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,635 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,636 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,637 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,638 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,638 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,639 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,640 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,641 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,641 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,642 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,643 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,644 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,644 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,645 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,646 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,647 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,647 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,648 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,649 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,650 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,650 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,651 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,652 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,653 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,653 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,654 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,655 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,656 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,656 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:43,657 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:43,658 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:43,658 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:43,659 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:43,660 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:43,661 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:43,661 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:43,662 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,663 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,664 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,664 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,665 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,666 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,667 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,668 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,668 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,669 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,670 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,671 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,671 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,672 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,673 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,674 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,675 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,675 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,676 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,677 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,678 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,678 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,679 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,680 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:43,681 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 03:05:43,681 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 03:05:43,682 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 03:05:43,682 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 03:05:43,683 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,683 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,684 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,685 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,686 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,686 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,687 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,688 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,689 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,689 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,690 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,691 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,692 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,692 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:43,693 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,694 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,694 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,695 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,696 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,697 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,697 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,698 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,699 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,700 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,701 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,701 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:43,702 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,702 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,703 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,704 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,705 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,705 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,706 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,707 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:43,708 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,708 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,709 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,710 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,711 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,711 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,712 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,713 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,714 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,714 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,715 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,716 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,717 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,717 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,718 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,719 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,720 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,720 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,721 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,722 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,723 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,723 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,724 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,725 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,726 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,726 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,727 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,728 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,729 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,729 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,730 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,731 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,732 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,732 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,733 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,734 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,735 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,736 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,736 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,737 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,738 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,739 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:43,739 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,740 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,741 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,742 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,742 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,743 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,744 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,745 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,745 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,746 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,747 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,748 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,748 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,749 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,750 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,751 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,751 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,752 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,753 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,754 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,754 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,755 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,756 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,757 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,757 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,758 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,759 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,760 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,760 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,761 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,762 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,763 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,763 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,764 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,765 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,766 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,766 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,767 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,768 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,769 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,769 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,770 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,771 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,772 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,772 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,773 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,774 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,775 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,775 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,776 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,777 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,778 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,778 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,779 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,780 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,781 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,781 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,782 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,783 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,784 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,784 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,785 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,786 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,787 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,787 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,788 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,789 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,790 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,790 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,791 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,792 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,793 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,793 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,794 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,795 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,796 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,796 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,797 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,798 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,799 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,799 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,800 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,801 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,802 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,802 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,803 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,804 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,804 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,805 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,806 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,807 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,808 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,809 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,809 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,810 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,811 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,812 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,812 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,813 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,814 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,815 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,815 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:43,816 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,817 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,818 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,818 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,819 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,820 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,821 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,821 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,822 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,823 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,824 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,825 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,825 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,826 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,827 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,828 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,828 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,829 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,830 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,831 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,831 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,832 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,833 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,834 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,834 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,835 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,836 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,837 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,837 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,838 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,839 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,840 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,840 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,841 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,842 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,843 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,843 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,844 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,845 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,846 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,846 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,847 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,848 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,852 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,853 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,854 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,854 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,855 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,856 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,857 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,858 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,858 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:43,859 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,860 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,860 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,861 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,862 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,863 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,864 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,864 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,865 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,866 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,867 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,868 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:43,868 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,869 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,870 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,871 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,871 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,872 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,873 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,874 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,875 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,876 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,876 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,877 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,878 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,879 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,880 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,880 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,881 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,882 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,883 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,883 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,884 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,885 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,886 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,886 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,887 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,888 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,889 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,890 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,890 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,891 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:43,892 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 03:05:43,893 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 03:05:43,893 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:43,894 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:43,895 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:43,896 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:43,896 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:43,897 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:43,898 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:43,899 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 03:05:43,899 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 03:05:43,901 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 03:05:43,902 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 03:05:43,902 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 03:05:43,903 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 03:05:43,903 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 03:05:43,904 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io 2024-01-19 03:05:43,904 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,905 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,906 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,907 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,907 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,908 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,909 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,910 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,911 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:43,911 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 03:05:43,912 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 03:05:43,913 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 03:05:43,914 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 03:05:43,914 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 03:05:43,915 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 03:05:43,915 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 03:05:43,916 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:43,916 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:43,917 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:43,918 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:43,919 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:43,919 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:43,920 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:43,921 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:43,921 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:43,922 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:43,923 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:43,924 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:43,924 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 03:05:43,925 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 03:05:43,925 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:43,926 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:43,927 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:43,927 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:43,928 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:43,929 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:43,930 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 03:05:43,930 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 03:05:43,931 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 03:05:43,931 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 03:05:43,932 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 03:05:43,932 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 03:05:43,933 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 03:05:43,934 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv 2024-01-19 03:05:43,934 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 03:05:43,935 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 03:05:43,935 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 03:05:43,936 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 03:05:43,937 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 03:05:43,937 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:43,938 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:43,939 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:43,939 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:43,940 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:43,941 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:43,941 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:43,942 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:43,943 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:43,943 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:43,944 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:43,945 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:43,945 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:43,946 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:43,947 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:43,947 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:43,948 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:43,949 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:43,950 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:43,950 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:43,951 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:43,952 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:43,952 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:43,953 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:43,954 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:43,955 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:43,955 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:43,956 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:43,957 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:43,957 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:43,958 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 03:05:43,959 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 03:05:43,959 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 03:05:43,960 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 03:05:43,960 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 03:05:43,961 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 03:05:43,962 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:43,963 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:43,964 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:43,964 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 03:05:43,965 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 03:05:43,965 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 03:05:43,966 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:43,967 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:43,968 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:43,969 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:43,969 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:43,970 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:43,970 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:43,971 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:43,972 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:43,973 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:43,973 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:43,974 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:43,975 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:43,975 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:43,976 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:43,977 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:43,978 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:43,978 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:43,979 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:43,980 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:43,981 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 03:05:43,981 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 03:05:43,982 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:43,982 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:43,983 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:43,984 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:43,985 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:43,985 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:43,986 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:43,987 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:43,987 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,988 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,989 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,989 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,990 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,991 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,992 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,993 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,994 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,994 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,995 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,996 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:43,997 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc 2024-01-19 03:05:43,997 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:43,997 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:43,998 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:43,999 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:44,000 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:44,001 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:44,001 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:44,002 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:44,002 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:44,003 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:44,004 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:44,005 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:44,005 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:44,006 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:44,007 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:44,007 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:44,008 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:44,009 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 03:05:44,009 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 03:05:44,010 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:44,010 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:44,011 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:44,012 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:44,013 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:44,013 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:44,014 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:44,015 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 03:05:44,015 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 03:05:44,016 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 03:05:44,017 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 03:05:44,017 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 03:05:44,018 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:44,019 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:44,019 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:44,020 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:44,021 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:44,022 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,022 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,023 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,023 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,024 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,025 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,026 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,026 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:44,027 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:44,028 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:44,028 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:44,029 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:44,030 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:44,031 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 03:05:44,031 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 03:05:44,032 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 03:05:44,033 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 03:05:44,033 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 03:05:44,034 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 03:05:44,034 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 03:05:44,035 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 03:05:44,036 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 03:05:44,036 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:44,037 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:44,038 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:44,038 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:44,039 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:44,040 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:44,041 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:44,041 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:44,042 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 03:05:44,043 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 03:05:44,043 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 03:05:44,044 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:44,045 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:44,045 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:44,046 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:44,047 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:44,048 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 03:05:44,048 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 03:05:44,049 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 03:05:44,049 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 03:05:44,050 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 03:05:44,051 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:44,051 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:44,052 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:44,053 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:44,053 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 03:05:44,054 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 03:05:44,055 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 03:05:44,055 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 03:05:44,056 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 03:05:44,056 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:44,057 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:44,058 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:44,058 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:44,059 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 03:05:44,060 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 03:05:44,060 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 03:05:44,061 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 03:05:44,062 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 03:05:44,062 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 03:05:44,063 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 03:05:44,063 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 03:05:44,064 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 03:05:44,065 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 03:05:44,065 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 03:05:44,066 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 03:05:44,067 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 03:05:44,067 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 03:05:44,068 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 03:05:44,069 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,070 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,070 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,071 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,072 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,072 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,073 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,074 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,075 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,075 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,076 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,077 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:44,078 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 03:05:44,078 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 03:05:44,079 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 03:05:44,080 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 03:05:44,080 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 03:05:44,081 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 03:05:44,081 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 03:05:44,082 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 03:05:44,083 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 03:05:44,083 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 03:05:44,084 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 03:05:44,085 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:44,085 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:44,086 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:44,087 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:44,087 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 03:05:44,088 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 03:05:44,089 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 03:05:44,089 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:44,090 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:44,090 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:44,091 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:44,092 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 03:05:44,092 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 03:05:44,093 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 03:05:44,094 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 03:05:44,094 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 03:05:44,095 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 03:05:44,096 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 03:05:44,096 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 03:05:44,097 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 03:05:44,098 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:44,098 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:44,099 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:44,100 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:44,100 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:44,101 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:44,102 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:44,102 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:44,103 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 03:05:44,104 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 03:05:44,104 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 03:05:44,105 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 03:05:44,105 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 03:05:44,106 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 03:05:44,107 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 03:05:44,107 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 03:05:44,108 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 03:05:44,109 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 03:05:44,109 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 03:05:44,110 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 03:05:44,110 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 03:05:44,111 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 03:05:44,112 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 03:05:44,112 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 03:05:44,113 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 03:05:44,114 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:44,114 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:44,115 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:44,116 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:44,117 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 03:05:44,117 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 03:05:44,118 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 03:05:44,118 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow 2024-01-19 03:05:44,119 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 03:05:44,119 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 03:05:44,120 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 03:05:44,121 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 03:05:44,121 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 03:05:44,122 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 03:05:44,123 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 03:05:44,123 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 03:05:44,124 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 03:05:44,125 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:44,125 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:44,126 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:44,127 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:44,127 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:44,128 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:44,129 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:44,129 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:44,130 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:44,131 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:44,131 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:44,132 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:44,133 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:44,133 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:44,134 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:44,135 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:44,135 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:44,136 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:44,137 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:44,137 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:44,138 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:44,139 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:44,140 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:44,140 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:44,141 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 03:05:44,141 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 03:05:44,142 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 03:05:44,143 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:44,143 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:44,144 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:44,145 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:44,146 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:44,146 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:44,147 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:44,148 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:44,148 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:44,149 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:44,150 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:44,150 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:44,151 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:44,152 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:44,152 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:44,153 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:44,154 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:44,154 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:44,155 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:44,156 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:44,157 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:44,157 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:44,158 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 03:05:44,159 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 03:05:44,159 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 03:05:44,160 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:44,160 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:44,161 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:44,162 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:44,163 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:44,163 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:44,164 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:44,165 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:44,165 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:44,166 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:44,167 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:44,168 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:44,168 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:44,169 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:44,169 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:44,170 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:44,171 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:44,172 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:44,172 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:44,173 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:44,174 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:44,174 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:44,175 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:44,175 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:44,176 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:44,177 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:44,178 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:44,178 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:44,179 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,180 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,181 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,181 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,182 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,183 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,184 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,184 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,185 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,186 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,187 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,188 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,188 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,189 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,190 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:44,191 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:44,191 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:44,192 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:44,193 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:44,194 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:44,194 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:44,195 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:44,196 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:44,197 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:44,197 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:44,198 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:44,199 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:44,200 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:44,200 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:44,201 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:44,202 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:44,203 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:44,203 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:44,204 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:44,205 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:44,206 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:44,206 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:44,207 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:44,208 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:44,209 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:44,209 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:44,210 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:44,211 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:44,212 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:44,212 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:44,213 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:44,214 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:44,215 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:44,216 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:44,216 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:44,217 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:44,217 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:44,218 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:44,219 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:44,220 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:44,220 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:44,221 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:44,222 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:44,223 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:44,223 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:44,224 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:44,225 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:44,226 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:44,227 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math 2024-01-19 03:05:44,227 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:44,227 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:44,228 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:44,229 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:44,229 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:44,230 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:44,231 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:44,231 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:44,232 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 03:05:44,233 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,233 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,234 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,235 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,236 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,236 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,237 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,238 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:44,239 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:44,239 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:44,240 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:44,241 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:44,242 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:44,242 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:44,243 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:44,244 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:44,244 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:44,245 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:44,246 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:44,247 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:44,248 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests 2024-01-19 03:05:44,248 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:44,249 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:44,249 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:44,250 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:44,251 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,251 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,252 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,253 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,253 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,254 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,255 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,256 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,257 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,257 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:44,258 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 03:05:44,259 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 2024-01-19 03:05:44,259 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:44,260 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:44,261 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:44,261 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:44,262 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:44,263 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:44,264 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:44,264 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:44,265 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:44,266 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:44,266 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:44,267 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:44,267 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:44,268 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:44,269 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:44,270 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:44,271 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:44,271 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:44,272 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,273 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,274 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,274 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,275 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,276 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,277 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,277 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:44,278 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,279 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,280 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,280 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,281 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,282 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,283 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,283 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,284 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,285 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,286 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,286 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,287 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,288 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,289 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,289 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,290 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,291 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:44,292 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,292 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,293 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,294 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,294 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,295 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,296 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,297 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,297 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:44,298 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:44,299 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:44,300 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:44,300 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:44,301 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:44,302 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus 2024-01-19 03:05:44,302 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 03:05:44,303 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 03:05:44,303 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 03:05:44,304 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 03:05:44,304 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:44,305 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:44,306 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:44,306 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:44,307 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:44,308 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:44,309 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:44,309 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:44,310 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:44,311 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:44,312 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:44,312 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:44,313 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:44,314 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,315 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,317 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,318 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,320 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,324 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:44,327 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 03:05:44,328 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:44,328 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:44,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:44,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:44,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:44,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:44,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:44,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:44,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:44,334 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:44,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:44,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:44,336 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 2024-01-19 03:05:44,337 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:44,337 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:44,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:44,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:44,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:44,340 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 2024-01-19 03:05:44,340 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 03:05:44,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 03:05:44,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 03:05:44,342 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:44,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:44,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:44,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:44,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:44,346 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:44,347 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:44,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:44,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:44,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:44,349 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 03:05:44,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 03:05:44,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 03:05:44,351 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 03:05:44,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 03:05:44,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 03:05:44,353 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:44,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:44,354 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:44,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:44,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:44,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:44,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,360 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,361 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,363 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,365 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:44,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:44,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:44,368 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:44,369 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 03:05:44,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 03:05:44,370 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 03:05:44,371 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:44,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:44,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:44,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:44,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:44,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:44,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:44,375 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:44,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:44,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:44,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:44,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:44,379 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src 2024-01-19 03:05:44,379 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,380 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,380 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:44,386 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v 2024-01-19 03:05:44,386 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:44,387 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:44,387 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:44,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:44,389 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,391 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,392 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,392 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,393 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,403 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,406 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:44,408 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:44,408 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:44,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:44,410 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:44,410 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:44,411 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:44,424 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:44,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:44,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:44,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:44,426 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 03:05:44,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 03:05:44,428 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,428 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,435 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,438 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:44,439 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 03:05:44,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 03:05:44,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,443 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,444 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,444 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,447 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:44,447 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 03:05:44,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 03:05:44,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 03:05:44,449 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:44,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:44,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:44,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:44,452 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:44,453 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 03:05:44,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 03:05:44,454 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 03:05:44,455 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:44,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:44,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:44,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:44,460 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:44,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:44,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:44,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:44,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:44,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:44,464 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src 2024-01-19 03:05:44,464 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:44,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:44,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:44,466 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:44,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:44,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:44,468 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:44,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:44,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:44,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:44,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:44,472 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:44,473 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:44,473 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,474 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,476 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,477 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:44,608 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:05:44,608 root INFO running install 2024-01-19 03:05:44,671 root INFO running install_lib 2024-01-19 03:05:44,681 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:05:44,681 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:05:44,682 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot 2024-01-19 03:05:44,682 root INFO copying build/lib/pythondata_cpu_blackparrot/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot 2024-01-19 03:05:44,683 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog 2024-01-19 03:05:44,683 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:44,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:44,685 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 03:05:44,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 03:05:44,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:44,687 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 03:05:44,687 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 03:05:44,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:44,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 03:05:44,689 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:44,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:44,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:44,691 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top 2024-01-19 03:05:44,692 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src 2024-01-19 03:05:44,692 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 03:05:44,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 03:05:44,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 03:05:44,694 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,696 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,699 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,700 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,700 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,701 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,703 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,705 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,709 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,709 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,710 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 03:05:44,711 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test 2024-01-19 03:05:44,712 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 03:05:44,721 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb 2024-01-19 03:05:44,722 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,723 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,732 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 03:05:44,734 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:44,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:44,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:44,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:44,737 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:44,737 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 03:05:44,738 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software 2024-01-19 03:05:44,739 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 03:05:44,739 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 03:05:44,740 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 03:05:44,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 03:05:44,741 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common 2024-01-19 03:05:44,741 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src 2024-01-19 03:05:44,742 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,747 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,747 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,748 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,750 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,753 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,754 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,754 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,755 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 03:05:44,757 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,759 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,762 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,762 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,767 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 03:05:44,768 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,769 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:44,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:44,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:44,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:44,772 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:44,772 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:44,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 03:05:44,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,775 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,775 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,777 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 03:05:44,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 03:05:44,778 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 03:05:44,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 03:05:44,780 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software 2024-01-19 03:05:44,781 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:44,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:44,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:44,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 03:05:44,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:44,784 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 03:05:44,785 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src 2024-01-19 03:05:44,785 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:44,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:44,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:44,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:44,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:44,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 03:05:44,789 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 03:05:44,790 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:44,790 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:44,791 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:44,792 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 03:05:44,792 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,793 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,795 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,799 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 03:05:44,801 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,805 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 03:05:44,807 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 03:05:44,808 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 03:05:44,809 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test 2024-01-19 03:05:44,809 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb 2024-01-19 03:05:44,810 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,810 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,813 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,814 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,814 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,815 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,817 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,818 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 03:05:44,818 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:44,819 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:44,819 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:44,820 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:44,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 03:05:44,822 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,824 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,830 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 03:05:44,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:44,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:44,837 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external 2024-01-19 03:05:44,838 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:44,838 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:44,839 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,840 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,840 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 03:05:44,841 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 03:05:44,841 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 03:05:44,842 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 03:05:44,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 03:05:44,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 03:05:44,844 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 03:05:44,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 03:05:44,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 03:05:44,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,848 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,850 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,851 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,851 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 03:05:44,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:44,857 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:44,857 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test 2024-01-19 03:05:44,858 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,858 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,859 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,861 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,861 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,864 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,867 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,867 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,868 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,869 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,871 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,873 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,875 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,877 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,880 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,881 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,881 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,883 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,883 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,885 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,887 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,889 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,891 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,892 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,893 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,893 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,894 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,896 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,897 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,897 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,898 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,899 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,900 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,900 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,901 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,903 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,904 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,904 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,905 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,906 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,908 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,909 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,909 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,911 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,911 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,912 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,913 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,914 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,914 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,915 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,917 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,919 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,920 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,922 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,923 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,923 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,924 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,925 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 03:05:44,925 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,926 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,927 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,928 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 03:05:44,928 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:44,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:44,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:44,930 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:44,931 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 03:05:44,932 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 03:05:44,933 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:44,933 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io 2024-01-19 03:05:44,934 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,935 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,937 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,939 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 03:05:44,940 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 03:05:44,940 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 03:05:44,941 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 03:05:44,942 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 03:05:44,942 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 03:05:44,943 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 03:05:44,944 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:44,944 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:44,945 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:44,945 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:44,946 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:44,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:44,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 03:05:44,948 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 03:05:44,949 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,949 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,950 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,952 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,953 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,953 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,954 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,956 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 03:05:44,957 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:44,957 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:44,958 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:44,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:44,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:44,960 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 03:05:44,961 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,961 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,962 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,963 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,963 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,964 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,966 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,967 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,967 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,968 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,969 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,969 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,970 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,972 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,973 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,973 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,974 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,975 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,975 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,976 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,977 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,977 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,978 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,979 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,979 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,980 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,981 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,981 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,982 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,983 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,983 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,984 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,985 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,985 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,986 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 03:05:44,987 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:44,987 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:44,988 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:44,989 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:44,989 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:44,990 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:44,991 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 03:05:44,992 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,992 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,993 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,993 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,994 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,995 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,995 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,996 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,997 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,997 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,998 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,999 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:44,999 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,000 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,001 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,001 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,002 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,003 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,003 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,004 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,005 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,005 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,007 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,007 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,008 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,009 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 03:05:45,010 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 03:05:45,010 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 03:05:45,011 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 03:05:45,011 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 03:05:45,012 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:45,012 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:45,013 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:45,014 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:45,014 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 03:05:45,015 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,016 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,016 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,017 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,018 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,019 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,019 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,020 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,020 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,021 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,022 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,023 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,023 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,024 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 03:05:45,024 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:45,025 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,026 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,026 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,027 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,028 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,029 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 03:05:45,029 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 03:05:45,030 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 03:05:45,030 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 03:05:45,031 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 03:05:45,032 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 03:05:45,032 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 03:05:45,033 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 03:05:45,034 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 03:05:45,034 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,035 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,036 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,036 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,037 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,038 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:45,038 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:45,039 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:45,039 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:45,040 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:45,041 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 03:05:45,041 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,042 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 03:05:45,043 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv 2024-01-19 03:05:45,043 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 03:05:45,044 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 03:05:45,045 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 03:05:45,045 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 03:05:45,046 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 03:05:45,047 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:45,047 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:45,048 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:45,048 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 03:05:45,049 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,050 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,050 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,051 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,052 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,052 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,053 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,054 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 03:05:45,054 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:45,055 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:45,056 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,056 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,057 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,058 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,058 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,059 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,060 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,060 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,061 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,061 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,062 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,063 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,064 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,064 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,065 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,065 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,066 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,067 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,067 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,068 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,069 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,069 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,070 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,071 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,071 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,072 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,073 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,073 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,074 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,075 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,075 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,076 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,077 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,077 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,078 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,079 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,079 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,080 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,081 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,081 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,082 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,083 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,083 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,084 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 03:05:45,085 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,085 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,086 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,087 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:45,087 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:45,088 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:45,089 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:45,089 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 03:05:45,090 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,091 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,091 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,092 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,093 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,093 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,094 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,095 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,095 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,096 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,097 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,097 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,098 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,099 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,099 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,100 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,101 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,101 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,102 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,103 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,103 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,104 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,105 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,105 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,106 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,107 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,107 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,108 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,109 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,109 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,110 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,111 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,111 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,112 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,113 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,113 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,114 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,115 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,116 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,116 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,117 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,118 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,118 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,119 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,120 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,120 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,121 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,122 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,122 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,123 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,124 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,124 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,125 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,126 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,126 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,127 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,128 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,128 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,129 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,130 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,130 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,131 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,132 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,132 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,133 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,134 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,134 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,135 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,136 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,136 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,137 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,138 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,138 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,139 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,140 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,140 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,141 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,142 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,142 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,143 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,144 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,144 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,145 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,146 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,146 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,147 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,148 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,148 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,149 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,150 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,150 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,151 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,152 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,152 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,153 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,154 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,154 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,155 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,156 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,156 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,157 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 03:05:45,158 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,158 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,159 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,160 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,160 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,161 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,162 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,162 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,163 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,163 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,164 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,165 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,166 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,166 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,167 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,168 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,168 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,169 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,169 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,170 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,171 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,172 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,172 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,173 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,174 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,174 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,175 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,176 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,180 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,180 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,181 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,182 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,183 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,183 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,184 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,185 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,186 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,186 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,187 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,188 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,188 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,189 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,190 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,191 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,191 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,192 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,193 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,194 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,194 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,195 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,196 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,197 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,197 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,198 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 03:05:45,199 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,199 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,200 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,201 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,201 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,202 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,203 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,204 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,204 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,205 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,206 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,206 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 03:05:45,207 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,208 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,208 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,209 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,210 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,211 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,211 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,212 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,213 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,213 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,214 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,215 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,215 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,216 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,217 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,217 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,218 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,219 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,220 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,220 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,221 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,222 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,222 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,223 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,224 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,224 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,225 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,226 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,226 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,227 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 03:05:45,228 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 03:05:45,228 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test 2024-01-19 03:05:45,229 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,229 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,230 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,231 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,231 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,232 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,233 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,233 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,234 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,235 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,235 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 03:05:45,236 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 03:05:45,237 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 03:05:45,237 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 03:05:45,238 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,238 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,239 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,240 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,240 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,241 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,242 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,242 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,243 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,244 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,244 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,245 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 03:05:45,246 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:45,246 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:45,247 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:45,248 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 03:05:45,248 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:45,249 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:45,250 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:45,250 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:45,251 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:45,252 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 03:05:45,252 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,253 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,253 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,254 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,255 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,255 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,256 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,257 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 03:05:45,258 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 03:05:45,258 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 03:05:45,259 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:45,259 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:45,260 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:45,261 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 03:05:45,261 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:45,262 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:45,262 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:45,263 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:45,264 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:45,264 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:45,265 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 03:05:45,266 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:45,266 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:45,267 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:45,268 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,268 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,269 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,270 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,270 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,271 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,272 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,272 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,273 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,274 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,274 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,275 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 03:05:45,276 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:45,277 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 03:05:45,277 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc 2024-01-19 03:05:45,278 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:45,278 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:45,279 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:45,280 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:45,281 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:45,281 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 03:05:45,282 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:45,282 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:45,283 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:45,284 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:45,284 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 03:05:45,285 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:45,286 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:45,286 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:45,287 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:45,288 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:45,288 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 03:05:45,289 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 03:05:45,290 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 03:05:45,290 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:45,291 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:45,292 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:45,292 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:45,293 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:45,294 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:45,294 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 03:05:45,295 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 03:05:45,296 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 03:05:45,296 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,297 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,297 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,298 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,299 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,299 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,300 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,301 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 03:05:45,302 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 03:05:45,302 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 03:05:45,303 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 03:05:45,304 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:45,304 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:45,305 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:45,305 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:45,306 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 03:05:45,307 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:45,307 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:45,308 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:45,308 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 03:05:45,309 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:45,310 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:45,310 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:45,311 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:45,312 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:45,312 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 03:05:45,313 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:45,314 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:45,314 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:45,315 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:45,316 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:45,316 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 03:05:45,317 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 03:05:45,318 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:45,318 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:45,319 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:45,320 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:45,320 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 03:05:45,321 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem 2024-01-19 03:05:45,321 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 03:05:45,322 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 03:05:45,323 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 03:05:45,323 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 03:05:45,324 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 03:05:45,324 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 03:05:45,325 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 03:05:45,326 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 03:05:45,326 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 03:05:45,327 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:45,328 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:45,328 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:45,329 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:45,330 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 03:05:45,330 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:45,331 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:45,332 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:45,332 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:45,333 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 03:05:45,334 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 03:05:45,334 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 03:05:45,335 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 03:05:45,336 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:45,336 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:45,337 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:45,337 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:45,338 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 03:05:45,339 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 03:05:45,339 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 03:05:45,340 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 03:05:45,341 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 03:05:45,341 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 03:05:45,342 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 03:05:45,342 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:45,343 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:45,344 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:45,344 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 03:05:45,345 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 03:05:45,346 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 03:05:45,346 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 03:05:45,347 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 03:05:45,347 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 03:05:45,348 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:45,349 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:45,349 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:45,350 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 03:05:45,351 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 03:05:45,351 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 03:05:45,352 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 03:05:45,353 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 03:05:45,353 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 03:05:45,354 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 03:05:45,354 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 03:05:45,355 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 03:05:45,356 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 03:05:45,356 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 03:05:45,357 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 03:05:45,358 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 03:05:45,358 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 03:05:45,359 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 03:05:45,359 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 03:05:45,360 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,361 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,361 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,362 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,363 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,363 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,364 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,365 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,365 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,366 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,367 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,367 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,368 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,369 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 03:05:45,370 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 03:05:45,370 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 03:05:45,371 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 03:05:45,371 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 03:05:45,372 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 03:05:45,373 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 03:05:45,373 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 03:05:45,374 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 03:05:45,375 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 03:05:45,375 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 03:05:45,376 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 03:05:45,376 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:45,377 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:45,378 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:45,378 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 03:05:45,379 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 03:05:45,379 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 03:05:45,380 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 03:05:45,381 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:45,381 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:45,382 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:45,383 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 03:05:45,383 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 03:05:45,384 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 03:05:45,385 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 03:05:45,385 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 03:05:45,386 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 03:05:45,386 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 03:05:45,387 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 03:05:45,388 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 03:05:45,388 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 03:05:45,389 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 03:05:45,390 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:45,390 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:45,391 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:45,392 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 03:05:45,392 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:45,393 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:45,393 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:45,394 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 03:05:45,395 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 03:05:45,395 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 03:05:45,396 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 03:05:45,397 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 03:05:45,397 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 03:05:45,398 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 03:05:45,398 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 03:05:45,399 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 03:05:45,400 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 03:05:45,400 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 03:05:45,401 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 03:05:45,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 03:05:45,402 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 03:05:45,403 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 03:05:45,404 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 03:05:45,404 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 03:05:45,405 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 03:05:45,405 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:45,406 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:45,407 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:45,407 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 03:05:45,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 03:05:45,408 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 03:05:45,409 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 03:05:45,410 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow 2024-01-19 03:05:45,410 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 03:05:45,411 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 03:05:45,412 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 03:05:45,412 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 03:05:45,413 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 03:05:45,414 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 03:05:45,414 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 03:05:45,415 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 03:05:45,416 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 03:05:45,416 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:45,417 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:45,417 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:45,418 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:45,419 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 03:05:45,419 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:45,420 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:45,421 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:45,421 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 03:05:45,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:45,422 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:45,423 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:45,424 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:45,424 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 03:05:45,425 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:45,426 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:45,426 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:45,427 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 03:05:45,428 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:45,428 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:45,429 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:45,430 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:45,430 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:45,431 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 03:05:45,432 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 03:05:45,432 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 03:05:45,433 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 03:05:45,433 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:45,434 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:45,435 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:45,435 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:45,436 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:45,437 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 03:05:45,437 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:45,438 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:45,439 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:45,439 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:45,443 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 03:05:45,444 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:45,444 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:45,445 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:45,446 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:45,446 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 03:05:45,447 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 03:05:45,448 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:45,448 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:45,449 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:45,450 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:45,450 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:45,451 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 03:05:45,452 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 03:05:45,452 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 03:05:45,453 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 03:05:45,454 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:45,454 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:45,455 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:45,455 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:45,456 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:45,457 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 03:05:45,457 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:45,458 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:45,459 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:45,459 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:45,460 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:45,461 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 03:05:45,461 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 03:05:45,462 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:45,463 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:45,463 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:45,464 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:45,465 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:45,465 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 03:05:45,466 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:45,466 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:45,467 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:45,468 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 03:05:45,469 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:45,469 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:45,470 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:45,470 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:45,471 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:45,472 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 03:05:45,472 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache 2024-01-19 03:05:45,473 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,473 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,474 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,475 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,475 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,476 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,477 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,477 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,478 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,479 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,479 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,480 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,481 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,481 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,482 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,483 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,483 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,484 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,485 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,485 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,486 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,487 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,487 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,488 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,489 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,489 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,490 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,491 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,491 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,492 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,493 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,493 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,494 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,495 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,495 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,496 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,497 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,497 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,498 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,499 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,499 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,500 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,501 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,501 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 03:05:45,502 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,503 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,503 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,504 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,505 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,505 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,506 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,507 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 03:05:45,507 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,508 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,508 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,509 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,510 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,510 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,511 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,512 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,512 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 03:05:45,513 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,514 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,514 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,515 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,516 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,516 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,517 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,518 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,518 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,519 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,520 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,520 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,521 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,522 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,522 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,523 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,524 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,524 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,525 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,526 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,526 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,527 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,528 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,528 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,529 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,530 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,530 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 03:05:45,531 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:45,532 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:45,532 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:45,533 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:45,534 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:45,534 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:45,535 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 03:05:45,536 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,536 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,537 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,537 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,538 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,539 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,539 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,540 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,541 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,541 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,542 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,543 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,543 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 03:05:45,544 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,545 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,545 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,546 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,547 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,547 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,548 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,549 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,549 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,550 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,551 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,551 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,552 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,553 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,553 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,554 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,555 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,555 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,556 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 03:05:45,557 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:45,557 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:45,558 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:45,559 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:45,559 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:45,560 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 03:05:45,561 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,561 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,562 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,563 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,563 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,564 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,565 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,565 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,566 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 03:05:45,567 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 03:05:45,567 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 03:05:45,568 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 03:05:45,568 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 03:05:45,569 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math 2024-01-19 03:05:45,570 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:45,570 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:45,571 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:45,572 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:45,572 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:45,573 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:45,573 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 03:05:45,574 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 03:05:45,575 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:45,575 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,576 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,577 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,577 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,578 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,579 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,579 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy 2024-01-19 03:05:45,580 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 03:05:45,580 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,581 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,582 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,582 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,583 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,584 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,584 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,585 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 03:05:45,586 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 03:05:45,586 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests 2024-01-19 03:05:45,587 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:45,587 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:45,588 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:45,589 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 03:05:45,589 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,590 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,591 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,591 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,592 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,593 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,593 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,594 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,595 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 03:05:45,595 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:45,596 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:45,597 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:45,598 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,598 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,599 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,600 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,600 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,601 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,602 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,602 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,603 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,604 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 03:05:45,605 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:45,605 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 03:05:45,606 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,607 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 03:05:45,607 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 03:05:45,608 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 03:05:45,609 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 03:05:45,610 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 03:05:45,611 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 03:05:45,612 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 03:05:45,612 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard 2024-01-19 03:05:45,613 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 2024-01-19 03:05:45,613 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:45,614 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:45,614 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:45,615 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:45,616 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:45,616 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 03:05:45,617 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:45,618 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:45,618 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:45,619 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:45,620 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 03:05:45,620 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 2024-01-19 03:05:45,621 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:45,621 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:45,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:45,623 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,623 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,624 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,626 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,628 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 03:05:45,629 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:45,629 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:45,630 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:45,631 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 03:05:45,631 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,632 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,635 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,635 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,636 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 03:05:45,638 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,639 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,639 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,640 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,641 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,641 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,642 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,643 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,643 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,644 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,645 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,645 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 03:05:45,646 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,649 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,650 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,650 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,651 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,652 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,652 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,653 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,655 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,656 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,656 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,657 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,659 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 03:05:45,661 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus 2024-01-19 03:05:45,662 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 03:05:45,662 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 03:05:45,663 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 03:05:45,663 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 03:05:45,664 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 2024-01-19 03:05:45,664 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:45,665 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:45,666 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:45,666 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:45,667 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:45,668 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:45,668 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:45,669 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:45,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:45,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 03:05:45,671 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:45,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:45,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 03:05:45,673 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,673 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,674 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,675 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,675 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,676 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,677 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,677 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 03:05:45,679 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,680 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,680 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,681 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,681 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,682 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 03:05:45,687 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,687 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,691 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,694 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,696 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,699 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,699 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,700 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 03:05:45,701 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 03:05:45,701 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 03:05:45,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 03:05:45,703 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 2024-01-19 03:05:45,703 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:45,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:45,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:45,705 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:45,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 03:05:45,706 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 2024-01-19 03:05:45,707 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 03:05:45,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 03:05:45,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 03:05:45,709 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:45,709 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:45,710 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:45,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:45,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:45,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 03:05:45,713 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:45,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:45,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:45,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 03:05:45,715 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 03:05:45,716 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 03:05:45,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 03:05:45,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 03:05:45,718 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 03:05:45,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 03:05:45,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 03:05:45,720 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 03:05:45,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 03:05:45,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 03:05:45,722 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:45,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:45,723 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:45,723 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:45,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:45,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:45,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:45,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:45,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:45,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 03:05:45,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:45,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:45,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 03:05:45,730 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,732 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,732 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,737 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 03:05:45,739 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,741 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 03:05:45,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 03:05:45,745 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 03:05:45,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 03:05:45,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:45,747 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:45,748 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 03:05:45,748 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src 2024-01-19 03:05:45,749 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,750 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,753 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,753 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,754 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 03:05:45,755 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v 2024-01-19 03:05:45,755 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:45,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:45,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:45,757 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 03:05:45,758 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,759 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,762 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,767 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,767 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,772 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 03:05:45,775 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:45,775 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:45,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:45,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:45,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 03:05:45,778 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,780 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,784 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,785 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,789 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 03:05:45,790 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:45,790 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:45,791 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:45,792 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 03:05:45,792 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 03:05:45,793 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 03:05:45,793 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 03:05:45,794 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test 2024-01-19 03:05:45,795 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,795 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,799 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,799 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 03:05:45,805 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb 2024-01-19 03:05:45,805 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,807 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,807 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,808 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,809 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,809 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,810 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,813 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,813 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,814 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 03:05:45,815 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 03:05:45,815 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 03:05:45,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 03:05:45,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 03:05:45,817 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 03:05:45,818 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:45,818 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:45,819 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:45,820 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:45,820 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 03:05:45,821 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:45,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:45,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:45,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:45,824 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 03:05:45,824 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software 2024-01-19 03:05:45,825 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:45,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:45,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:45,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:45,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:45,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 03:05:45,829 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 03:05:45,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 03:05:45,830 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 03:05:45,831 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,837 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,838 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,840 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,841 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,850 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,851 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 03:05:45,857 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 03:05:45,857 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 03:05:45,858 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src 2024-01-19 03:05:45,858 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:45,859 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:45,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:45,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:45,861 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:45,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 03:05:45,862 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:45,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:45,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:45,864 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:45,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:45,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:45,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 03:05:45,867 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:45,868 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:45,869 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:45,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 03:05:45,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 03:05:45,872 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test 2024-01-19 03:05:45,873 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb 2024-01-19 03:05:45,873 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,875 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,875 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,877 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,880 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,880 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,881 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 03:05:45,883 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:45,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:45,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:45,885 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:45,885 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:45,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 03:05:45,887 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 03:05:45,887 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:45,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:45,889 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:45,889 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:45,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 03:05:45,891 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 03:05:45,891 root INFO running install_egg_info 2024-01-19 03:05:45,908 root INFO Copying pythondata_cpu_blackparrot.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot-0.0.post1817-py3.11.egg-info 2024-01-19 03:05:45,913 root INFO running install_scripts 2024-01-19 03:05:45,921 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot-0.0.post1817.dist-info/WHEEL 2024-01-19 03:05:45,924 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-k_0pxs_f/pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:05:45,926 wheel INFO adding 'pythondata_cpu_blackparrot/__init__.py' 2024-01-19 03:05:45,930 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore' 2024-01-19 03:05:45,931 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml' 2024-01-19 03:05:45,932 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md' 2024-01-19 03:05:45,934 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE' 2024-01-19 03:05:45,934 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile' 2024-01-19 03:05:45,935 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common' 2024-01-19 03:05:45,937 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools' 2024-01-19 03:05:45,938 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md' 2024-01-19 03:05:45,939 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md' 2024-01-19 03:05:45,940 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md' 2024-01-19 03:05:45,941 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml' 2024-01-19 03:05:45,941 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md' 2024-01-19 03:05:45,942 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md' 2024-01-19 03:05:45,943 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md' 2024-01-19 03:05:45,945 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh' 2024-01-19 03:05:45,946 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh' 2024-01-19 03:05:45,946 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh' 2024-01-19 03:05:45,947 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh' 2024-01-19 03:05:45,949 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv' 2024-01-19 03:05:45,950 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv' 2024-01-19 03:05:45,951 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv' 2024-01-19 03:05:45,952 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv' 2024-01-19 03:05:45,954 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv' 2024-01-19 03:05:45,955 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv' 2024-01-19 03:05:45,956 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv' 2024-01-19 03:05:45,957 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv' 2024-01-19 03:05:45,958 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv' 2024-01-19 03:05:45,959 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv' 2024-01-19 03:05:45,960 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv' 2024-01-19 03:05:45,961 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv' 2024-01-19 03:05:45,962 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv' 2024-01-19 03:05:45,963 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv' 2024-01-19 03:05:45,964 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv' 2024-01-19 03:05:45,965 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv' 2024-01-19 03:05:45,966 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv' 2024-01-19 03:05:45,967 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv' 2024-01-19 03:05:45,969 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv' 2024-01-19 03:05:45,970 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv' 2024-01-19 03:05:45,971 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv' 2024-01-19 03:05:45,972 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv' 2024-01-19 03:05:45,973 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv' 2024-01-19 03:05:45,975 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv' 2024-01-19 03:05:45,976 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv' 2024-01-19 03:05:45,977 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile' 2024-01-19 03:05:45,978 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs' 2024-01-19 03:05:45,979 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator' 2024-01-19 03:05:45,980 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs' 2024-01-19 03:05:45,981 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs' 2024-01-19 03:05:45,982 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc' 2024-01-19 03:05:45,983 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params' 2024-01-19 03:05:45,984 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist' 2024-01-19 03:05:45,985 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs' 2024-01-19 03:05:45,986 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator' 2024-01-19 03:05:45,986 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs' 2024-01-19 03:05:45,987 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp' 2024-01-19 03:05:45,988 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv' 2024-01-19 03:05:45,989 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py' 2024-01-19 03:05:45,990 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py' 2024-01-19 03:05:45,992 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv' 2024-01-19 03:05:45,993 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py' 2024-01-19 03:05:45,994 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py' 2024-01-19 03:05:45,995 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py' 2024-01-19 03:05:45,997 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh' 2024-01-19 03:05:45,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh' 2024-01-19 03:05:45,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh' 2024-01-19 03:05:46,000 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh' 2024-01-19 03:05:46,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh' 2024-01-19 03:05:46,003 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh' 2024-01-19 03:05:46,004 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh' 2024-01-19 03:05:46,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh' 2024-01-19 03:05:46,006 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh' 2024-01-19 03:05:46,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh' 2024-01-19 03:05:46,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh' 2024-01-19 03:05:46,008 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh' 2024-01-19 03:05:46,009 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh' 2024-01-19 03:05:46,011 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh' 2024-01-19 03:05:46,012 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh' 2024-01-19 03:05:46,013 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh' 2024-01-19 03:05:46,013 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh' 2024-01-19 03:05:46,014 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv' 2024-01-19 03:05:46,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh' 2024-01-19 03:05:46,017 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh' 2024-01-19 03:05:46,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh' 2024-01-19 03:05:46,020 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv' 2024-01-19 03:05:46,021 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv' 2024-01-19 03:05:46,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv' 2024-01-19 03:05:46,023 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv' 2024-01-19 03:05:46,024 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv' 2024-01-19 03:05:46,025 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v' 2024-01-19 03:05:46,026 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v' 2024-01-19 03:05:46,026 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv' 2024-01-19 03:05:46,027 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v' 2024-01-19 03:05:46,028 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v' 2024-01-19 03:05:46,029 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v' 2024-01-19 03:05:46,030 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v' 2024-01-19 03:05:46,031 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v' 2024-01-19 03:05:46,032 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v' 2024-01-19 03:05:46,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v' 2024-01-19 03:05:46,035 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common' 2024-01-19 03:05:46,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc' 2024-01-19 03:05:46,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog' 2024-01-19 03:05:46,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v' 2024-01-19 03:05:46,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs' 2024-01-19 03:05:46,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator' 2024-01-19 03:05:46,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado' 2024-01-19 03:05:46,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs' 2024-01-19 03:05:46,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl' 2024-01-19 03:05:46,043 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl' 2024-01-19 03:05:46,044 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v' 2024-01-19 03:05:46,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v' 2024-01-19 03:05:46,046 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:46,047 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:46,048 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v' 2024-01-19 03:05:46,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v' 2024-01-19 03:05:46,050 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md' 2024-01-19 03:05:46,053 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg' 2024-01-19 03:05:46,063 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg' 2024-01-19 03:05:46,070 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg' 2024-01-19 03:05:46,074 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh' 2024-01-19 03:05:46,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh' 2024-01-19 03:05:46,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh' 2024-01-19 03:05:46,077 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh' 2024-01-19 03:05:46,077 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv' 2024-01-19 03:05:46,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv' 2024-01-19 03:05:46,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv' 2024-01-19 03:05:46,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv' 2024-01-19 03:05:46,082 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv' 2024-01-19 03:05:46,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv' 2024-01-19 03:05:46,084 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv' 2024-01-19 03:05:46,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile' 2024-01-19 03:05:46,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs' 2024-01-19 03:05:46,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator' 2024-01-19 03:05:46,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs' 2024-01-19 03:05:46,089 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst' 2024-01-19 03:05:46,090 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs' 2024-01-19 03:05:46,091 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc' 2024-01-19 03:05:46,092 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag' 2024-01-19 03:05:46,093 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params' 2024-01-19 03:05:46,093 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist' 2024-01-19 03:05:46,094 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs' 2024-01-19 03:05:46,095 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator' 2024-01-19 03:05:46,096 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs' 2024-01-19 03:05:46,097 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp' 2024-01-19 03:05:46,098 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv' 2024-01-19 03:05:46,099 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py' 2024-01-19 03:05:46,100 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py' 2024-01-19 03:05:46,101 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv' 2024-01-19 03:05:46,102 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md' 2024-01-19 03:05:46,103 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py' 2024-01-19 03:05:46,104 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py' 2024-01-19 03:05:46,105 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py' 2024-01-19 03:05:46,106 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py' 2024-01-19 03:05:46,107 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py' 2024-01-19 03:05:46,108 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh' 2024-01-19 03:05:46,109 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh' 2024-01-19 03:05:46,110 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv' 2024-01-19 03:05:46,111 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh' 2024-01-19 03:05:46,112 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh' 2024-01-19 03:05:46,113 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh' 2024-01-19 03:05:46,114 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh' 2024-01-19 03:05:46,116 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh' 2024-01-19 03:05:46,117 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv' 2024-01-19 03:05:46,117 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh' 2024-01-19 03:05:46,119 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv' 2024-01-19 03:05:46,120 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv' 2024-01-19 03:05:46,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv' 2024-01-19 03:05:46,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv' 2024-01-19 03:05:46,123 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv' 2024-01-19 03:05:46,124 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv' 2024-01-19 03:05:46,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv' 2024-01-19 03:05:46,127 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv' 2024-01-19 03:05:46,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv' 2024-01-19 03:05:46,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv' 2024-01-19 03:05:46,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv' 2024-01-19 03:05:46,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv' 2024-01-19 03:05:46,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv' 2024-01-19 03:05:46,134 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv' 2024-01-19 03:05:46,135 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv' 2024-01-19 03:05:46,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv' 2024-01-19 03:05:46,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv' 2024-01-19 03:05:46,139 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv' 2024-01-19 03:05:46,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv' 2024-01-19 03:05:46,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv' 2024-01-19 03:05:46,142 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv' 2024-01-19 03:05:46,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv' 2024-01-19 03:05:46,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv' 2024-01-19 03:05:46,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv' 2024-01-19 03:05:46,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv' 2024-01-19 03:05:46,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv' 2024-01-19 03:05:46,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv' 2024-01-19 03:05:46,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv' 2024-01-19 03:05:46,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv' 2024-01-19 03:05:46,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv' 2024-01-19 03:05:46,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv' 2024-01-19 03:05:46,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv' 2024-01-19 03:05:46,156 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv' 2024-01-19 03:05:46,157 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv' 2024-01-19 03:05:46,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv' 2024-01-19 03:05:46,159 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv' 2024-01-19 03:05:46,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv' 2024-01-19 03:05:46,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv' 2024-01-19 03:05:46,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv' 2024-01-19 03:05:46,163 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv' 2024-01-19 03:05:46,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv' 2024-01-19 03:05:46,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv' 2024-01-19 03:05:46,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv' 2024-01-19 03:05:46,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv' 2024-01-19 03:05:46,168 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv' 2024-01-19 03:05:46,169 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv' 2024-01-19 03:05:46,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv' 2024-01-19 03:05:46,171 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv' 2024-01-19 03:05:46,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv' 2024-01-19 03:05:46,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv' 2024-01-19 03:05:46,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv' 2024-01-19 03:05:46,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile' 2024-01-19 03:05:46,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs' 2024-01-19 03:05:46,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator' 2024-01-19 03:05:46,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs' 2024-01-19 03:05:46,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv' 2024-01-19 03:05:46,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv' 2024-01-19 03:05:46,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv' 2024-01-19 03:05:46,182 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv' 2024-01-19 03:05:46,183 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv' 2024-01-19 03:05:46,184 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv' 2024-01-19 03:05:46,185 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv' 2024-01-19 03:05:46,186 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv' 2024-01-19 03:05:46,188 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv' 2024-01-19 03:05:46,188 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv' 2024-01-19 03:05:46,189 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv' 2024-01-19 03:05:46,190 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv' 2024-01-19 03:05:46,191 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv' 2024-01-19 03:05:46,192 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv' 2024-01-19 03:05:46,193 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile' 2024-01-19 03:05:46,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md' 2024-01-19 03:05:46,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m' 2024-01-19 03:05:46,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m' 2024-01-19 03:05:46,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m' 2024-01-19 03:05:46,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md' 2024-01-19 03:05:46,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m' 2024-01-19 03:05:46,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs' 2024-01-19 03:05:46,203 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc' 2024-01-19 03:05:46,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params' 2024-01-19 03:05:46,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist' 2024-01-19 03:05:46,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs' 2024-01-19 03:05:46,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator' 2024-01-19 03:05:46,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py' 2024-01-19 03:05:46,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs' 2024-01-19 03:05:46,209 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp' 2024-01-19 03:05:46,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py' 2024-01-19 03:05:46,211 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py' 2024-01-19 03:05:46,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv' 2024-01-19 03:05:46,213 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv' 2024-01-19 03:05:46,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py' 2024-01-19 03:05:46,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh' 2024-01-19 03:05:46,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv' 2024-01-19 03:05:46,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv' 2024-01-19 03:05:46,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv' 2024-01-19 03:05:46,220 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv' 2024-01-19 03:05:46,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv' 2024-01-19 03:05:46,222 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv' 2024-01-19 03:05:46,223 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv' 2024-01-19 03:05:46,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv' 2024-01-19 03:05:46,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv' 2024-01-19 03:05:46,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv' 2024-01-19 03:05:46,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv' 2024-01-19 03:05:46,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv' 2024-01-19 03:05:46,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv' 2024-01-19 03:05:46,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv' 2024-01-19 03:05:46,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv' 2024-01-19 03:05:46,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv' 2024-01-19 03:05:46,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv' 2024-01-19 03:05:46,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv' 2024-01-19 03:05:46,235 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv' 2024-01-19 03:05:46,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv' 2024-01-19 03:05:46,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv' 2024-01-19 03:05:46,238 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv' 2024-01-19 03:05:46,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv' 2024-01-19 03:05:46,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv' 2024-01-19 03:05:46,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv' 2024-01-19 03:05:46,243 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile' 2024-01-19 03:05:46,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs' 2024-01-19 03:05:46,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator' 2024-01-19 03:05:46,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs' 2024-01-19 03:05:46,247 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator' 2024-01-19 03:05:46,248 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp' 2024-01-19 03:05:46,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv' 2024-01-19 03:05:46,250 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv' 2024-01-19 03:05:46,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv' 2024-01-19 03:05:46,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv' 2024-01-19 03:05:46,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv' 2024-01-19 03:05:46,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv' 2024-01-19 03:05:46,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv' 2024-01-19 03:05:46,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv' 2024-01-19 03:05:46,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv' 2024-01-19 03:05:46,258 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv' 2024-01-19 03:05:46,259 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv' 2024-01-19 03:05:46,259 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp' 2024-01-19 03:05:46,261 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs' 2024-01-19 03:05:46,262 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc' 2024-01-19 03:05:46,262 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params' 2024-01-19 03:05:46,263 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog' 2024-01-19 03:05:46,264 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v' 2024-01-19 03:05:46,265 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist' 2024-01-19 03:05:46,266 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs' 2024-01-19 03:05:46,267 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator' 2024-01-19 03:05:46,268 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado' 2024-01-19 03:05:46,269 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc' 2024-01-19 03:05:46,270 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs' 2024-01-19 03:05:46,271 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump' 2024-01-19 03:05:46,272 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem' 2024-01-19 03:05:46,273 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv' 2024-01-19 03:05:46,274 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp' 2024-01-19 03:05:46,275 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv' 2024-01-19 03:05:46,277 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv' 2024-01-19 03:05:46,278 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh' 2024-01-19 03:05:46,279 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh' 2024-01-19 03:05:46,280 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh' 2024-01-19 03:05:46,281 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh' 2024-01-19 03:05:46,281 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh' 2024-01-19 03:05:46,282 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh' 2024-01-19 03:05:46,283 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh' 2024-01-19 03:05:46,284 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh' 2024-01-19 03:05:46,285 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh' 2024-01-19 03:05:46,286 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh' 2024-01-19 03:05:46,287 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh' 2024-01-19 03:05:46,287 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh' 2024-01-19 03:05:46,288 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh' 2024-01-19 03:05:46,289 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh' 2024-01-19 03:05:46,290 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh' 2024-01-19 03:05:46,291 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh' 2024-01-19 03:05:46,292 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh' 2024-01-19 03:05:46,293 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh' 2024-01-19 03:05:46,294 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh' 2024-01-19 03:05:46,295 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh' 2024-01-19 03:05:46,295 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh' 2024-01-19 03:05:46,297 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md' 2024-01-19 03:05:46,298 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png' 2024-01-19 03:05:46,299 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md' 2024-01-19 03:05:46,300 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf' 2024-01-19 03:05:46,302 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md' 2024-01-19 03:05:46,303 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png' 2024-01-19 03:05:46,307 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png' 2024-01-19 03:05:46,310 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png' 2024-01-19 03:05:46,320 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md' 2024-01-19 03:05:46,321 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png' 2024-01-19 03:05:46,327 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png' 2024-01-19 03:05:46,334 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png' 2024-01-19 03:05:46,339 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png' 2024-01-19 03:05:46,345 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png' 2024-01-19 03:05:46,353 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png' 2024-01-19 03:05:46,359 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md' 2024-01-19 03:05:46,360 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md' 2024-01-19 03:05:46,362 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png' 2024-01-19 03:05:46,370 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png' 2024-01-19 03:05:46,375 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png' 2024-01-19 03:05:46,379 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md' 2024-01-19 03:05:46,380 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md' 2024-01-19 03:05:46,381 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md' 2024-01-19 03:05:46,382 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md' 2024-01-19 03:05:46,385 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png' 2024-01-19 03:05:46,401 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt' 2024-01-19 03:05:46,402 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html' 2024-01-19 03:05:46,403 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md' 2024-01-19 03:05:46,403 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt' 2024-01-19 03:05:46,405 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html' 2024-01-19 03:05:46,407 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html' 2024-01-19 03:05:46,408 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html' 2024-01-19 03:05:46,410 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg' 2024-01-19 03:05:46,412 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi' 2024-01-19 03:05:46,413 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi' 2024-01-19 03:05:46,414 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v' 2024-01-19 03:05:46,415 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v' 2024-01-19 03:05:46,416 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v' 2024-01-19 03:05:46,417 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v' 2024-01-19 03:05:46,418 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v' 2024-01-19 03:05:46,419 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v' 2024-01-19 03:05:46,420 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v' 2024-01-19 03:05:46,421 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v' 2024-01-19 03:05:46,422 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v' 2024-01-19 03:05:46,423 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v' 2024-01-19 03:05:46,424 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v' 2024-01-19 03:05:46,425 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v' 2024-01-19 03:05:46,426 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v' 2024-01-19 03:05:46,427 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v' 2024-01-19 03:05:46,428 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v' 2024-01-19 03:05:46,429 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi' 2024-01-19 03:05:46,430 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v' 2024-01-19 03:05:46,431 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi' 2024-01-19 03:05:46,432 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v' 2024-01-19 03:05:46,433 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi' 2024-01-19 03:05:46,434 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v' 2024-01-19 03:05:46,435 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v' 2024-01-19 03:05:46,436 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v' 2024-01-19 03:05:46,437 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v' 2024-01-19 03:05:46,438 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi' 2024-01-19 03:05:46,439 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v' 2024-01-19 03:05:46,440 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v' 2024-01-19 03:05:46,441 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v' 2024-01-19 03:05:46,442 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v' 2024-01-19 03:05:46,443 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v' 2024-01-19 03:05:46,444 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v' 2024-01-19 03:05:46,445 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v' 2024-01-19 03:05:46,446 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v' 2024-01-19 03:05:46,447 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v' 2024-01-19 03:05:46,448 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v' 2024-01-19 03:05:46,449 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v' 2024-01-19 03:05:46,450 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v' 2024-01-19 03:05:46,451 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v' 2024-01-19 03:05:46,452 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v' 2024-01-19 03:05:46,454 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v' 2024-01-19 03:05:46,455 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v' 2024-01-19 03:05:46,456 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v' 2024-01-19 03:05:46,457 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v' 2024-01-19 03:05:46,458 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v' 2024-01-19 03:05:46,459 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v' 2024-01-19 03:05:46,460 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c' 2024-01-19 03:05:46,462 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h' 2024-01-19 03:05:46,463 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp' 2024-01-19 03:05:46,464 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp' 2024-01-19 03:05:46,465 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp' 2024-01-19 03:05:46,466 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp' 2024-01-19 03:05:46,467 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp' 2024-01-19 03:05:46,468 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp' 2024-01-19 03:05:46,469 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp' 2024-01-19 03:05:46,470 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp' 2024-01-19 03:05:46,471 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp' 2024-01-19 03:05:46,472 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp' 2024-01-19 03:05:46,473 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp' 2024-01-19 03:05:46,474 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp' 2024-01-19 03:05:46,475 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp' 2024-01-19 03:05:46,476 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp' 2024-01-19 03:05:46,477 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp' 2024-01-19 03:05:46,478 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp' 2024-01-19 03:05:46,480 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp' 2024-01-19 03:05:46,481 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp' 2024-01-19 03:05:46,482 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp' 2024-01-19 03:05:46,482 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp' 2024-01-19 03:05:46,483 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp' 2024-01-19 03:05:46,484 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp' 2024-01-19 03:05:46,485 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp' 2024-01-19 03:05:46,486 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp' 2024-01-19 03:05:46,487 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp' 2024-01-19 03:05:46,488 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp' 2024-01-19 03:05:46,489 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp' 2024-01-19 03:05:46,490 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp' 2024-01-19 03:05:46,491 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp' 2024-01-19 03:05:46,492 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp' 2024-01-19 03:05:46,493 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp' 2024-01-19 03:05:46,494 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp' 2024-01-19 03:05:46,495 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp' 2024-01-19 03:05:46,496 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp' 2024-01-19 03:05:46,497 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp' 2024-01-19 03:05:46,498 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp' 2024-01-19 03:05:46,499 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp' 2024-01-19 03:05:46,500 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp' 2024-01-19 03:05:46,501 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp' 2024-01-19 03:05:46,502 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp' 2024-01-19 03:05:46,503 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp' 2024-01-19 03:05:46,504 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp' 2024-01-19 03:05:46,505 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp' 2024-01-19 03:05:46,506 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp' 2024-01-19 03:05:46,507 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp' 2024-01-19 03:05:46,508 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp' 2024-01-19 03:05:46,509 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp' 2024-01-19 03:05:46,510 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp' 2024-01-19 03:05:46,511 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp' 2024-01-19 03:05:46,512 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp' 2024-01-19 03:05:46,513 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp' 2024-01-19 03:05:46,514 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp' 2024-01-19 03:05:46,515 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp' 2024-01-19 03:05:46,516 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp' 2024-01-19 03:05:46,517 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp' 2024-01-19 03:05:46,518 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp' 2024-01-19 03:05:46,519 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp' 2024-01-19 03:05:46,520 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp' 2024-01-19 03:05:46,521 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp' 2024-01-19 03:05:46,522 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp' 2024-01-19 03:05:46,523 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp' 2024-01-19 03:05:46,524 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp' 2024-01-19 03:05:46,525 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp' 2024-01-19 03:05:46,526 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp' 2024-01-19 03:05:46,527 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp' 2024-01-19 03:05:46,528 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp' 2024-01-19 03:05:46,529 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp' 2024-01-19 03:05:46,530 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp' 2024-01-19 03:05:46,531 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp' 2024-01-19 03:05:46,532 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp' 2024-01-19 03:05:46,533 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp' 2024-01-19 03:05:46,534 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp' 2024-01-19 03:05:46,535 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore' 2024-01-19 03:05:46,536 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules' 2024-01-19 03:05:46,537 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE' 2024-01-19 03:05:46,538 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md' 2024-01-19 03:05:46,539 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing' 2024-01-19 03:05:46,540 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v' 2024-01-19 03:05:46,541 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v' 2024-01-19 03:05:46,542 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v' 2024-01-19 03:05:46,543 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v' 2024-01-19 03:05:46,544 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v' 2024-01-19 03:05:46,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v' 2024-01-19 03:05:46,547 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh' 2024-01-19 03:05:46,548 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v' 2024-01-19 03:05:46,551 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v' 2024-01-19 03:05:46,553 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v' 2024-01-19 03:05:46,554 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v' 2024-01-19 03:05:46,555 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v' 2024-01-19 03:05:46,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v' 2024-01-19 03:05:46,557 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v' 2024-01-19 03:05:46,558 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v' 2024-01-19 03:05:46,560 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v' 2024-01-19 03:05:46,561 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v' 2024-01-19 03:05:46,562 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v' 2024-01-19 03:05:46,563 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v' 2024-01-19 03:05:46,564 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v' 2024-01-19 03:05:46,565 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v' 2024-01-19 03:05:46,566 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v' 2024-01-19 03:05:46,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v' 2024-01-19 03:05:46,568 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v' 2024-01-19 03:05:46,569 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v' 2024-01-19 03:05:46,570 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v' 2024-01-19 03:05:46,571 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v' 2024-01-19 03:05:46,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v' 2024-01-19 03:05:46,573 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v' 2024-01-19 03:05:46,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v' 2024-01-19 03:05:46,575 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v' 2024-01-19 03:05:46,576 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v' 2024-01-19 03:05:46,577 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v' 2024-01-19 03:05:46,578 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v' 2024-01-19 03:05:46,579 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v' 2024-01-19 03:05:46,580 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README' 2024-01-19 03:05:46,581 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v' 2024-01-19 03:05:46,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v' 2024-01-19 03:05:46,583 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v' 2024-01-19 03:05:46,584 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v' 2024-01-19 03:05:46,585 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh' 2024-01-19 03:05:46,586 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 03:05:46,587 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v' 2024-01-19 03:05:46,588 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v' 2024-01-19 03:05:46,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v' 2024-01-19 03:05:46,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v' 2024-01-19 03:05:46,591 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md' 2024-01-19 03:05:46,591 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v' 2024-01-19 03:05:46,592 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v' 2024-01-19 03:05:46,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v' 2024-01-19 03:05:46,595 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh' 2024-01-19 03:05:46,596 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v' 2024-01-19 03:05:46,597 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v' 2024-01-19 03:05:46,598 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v' 2024-01-19 03:05:46,599 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v' 2024-01-19 03:05:46,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v' 2024-01-19 03:05:46,601 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v' 2024-01-19 03:05:46,602 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README' 2024-01-19 03:05:46,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in' 2024-01-19 03:05:46,604 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v' 2024-01-19 03:05:46,605 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v' 2024-01-19 03:05:46,606 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v' 2024-01-19 03:05:46,607 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README' 2024-01-19 03:05:46,608 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v' 2024-01-19 03:05:46,610 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v' 2024-01-19 03:05:46,611 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile' 2024-01-19 03:05:46,612 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v' 2024-01-19 03:05:46,613 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v' 2024-01-19 03:05:46,614 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v' 2024-01-19 03:05:46,615 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v' 2024-01-19 03:05:46,616 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v' 2024-01-19 03:05:46,617 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v' 2024-01-19 03:05:46,618 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v' 2024-01-19 03:05:46,619 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v' 2024-01-19 03:05:46,620 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v' 2024-01-19 03:05:46,621 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v' 2024-01-19 03:05:46,622 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v' 2024-01-19 03:05:46,623 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v' 2024-01-19 03:05:46,624 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v' 2024-01-19 03:05:46,625 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v' 2024-01-19 03:05:46,626 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v' 2024-01-19 03:05:46,627 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v' 2024-01-19 03:05:46,628 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v' 2024-01-19 03:05:46,629 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v' 2024-01-19 03:05:46,630 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v' 2024-01-19 03:05:46,631 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v' 2024-01-19 03:05:46,632 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v' 2024-01-19 03:05:46,633 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v' 2024-01-19 03:05:46,634 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v' 2024-01-19 03:05:46,635 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v' 2024-01-19 03:05:46,635 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v' 2024-01-19 03:05:46,636 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v' 2024-01-19 03:05:46,637 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v' 2024-01-19 03:05:46,638 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v' 2024-01-19 03:05:46,639 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v' 2024-01-19 03:05:46,640 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v' 2024-01-19 03:05:46,641 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v' 2024-01-19 03:05:46,642 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v' 2024-01-19 03:05:46,643 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v' 2024-01-19 03:05:46,644 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v' 2024-01-19 03:05:46,644 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v' 2024-01-19 03:05:46,645 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v' 2024-01-19 03:05:46,646 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v' 2024-01-19 03:05:46,647 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v' 2024-01-19 03:05:46,648 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v' 2024-01-19 03:05:46,649 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v' 2024-01-19 03:05:46,650 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v' 2024-01-19 03:05:46,651 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v' 2024-01-19 03:05:46,652 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v' 2024-01-19 03:05:46,653 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py' 2024-01-19 03:05:46,658 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v' 2024-01-19 03:05:46,689 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v' 2024-01-19 03:05:46,690 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v' 2024-01-19 03:05:46,691 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v' 2024-01-19 03:05:46,692 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v' 2024-01-19 03:05:46,692 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v' 2024-01-19 03:05:46,693 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v' 2024-01-19 03:05:46,694 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v' 2024-01-19 03:05:46,695 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v' 2024-01-19 03:05:46,696 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v' 2024-01-19 03:05:46,697 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md' 2024-01-19 03:05:46,698 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v' 2024-01-19 03:05:46,699 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v' 2024-01-19 03:05:46,700 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v' 2024-01-19 03:05:46,702 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v' 2024-01-19 03:05:46,703 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v' 2024-01-19 03:05:46,704 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md' 2024-01-19 03:05:46,705 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v' 2024-01-19 03:05:46,706 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v' 2024-01-19 03:05:46,707 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v' 2024-01-19 03:05:46,708 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v' 2024-01-19 03:05:46,708 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh' 2024-01-19 03:05:46,709 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v' 2024-01-19 03:05:46,710 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v' 2024-01-19 03:05:46,711 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v' 2024-01-19 03:05:46,712 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v' 2024-01-19 03:05:46,713 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v' 2024-01-19 03:05:46,714 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v' 2024-01-19 03:05:46,715 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v' 2024-01-19 03:05:46,716 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v' 2024-01-19 03:05:46,717 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v' 2024-01-19 03:05:46,718 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v' 2024-01-19 03:05:46,719 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v' 2024-01-19 03:05:46,720 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v' 2024-01-19 03:05:46,721 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v' 2024-01-19 03:05:46,722 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v' 2024-01-19 03:05:46,723 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v' 2024-01-19 03:05:46,724 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v' 2024-01-19 03:05:46,725 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v' 2024-01-19 03:05:46,726 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v' 2024-01-19 03:05:46,728 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v' 2024-01-19 03:05:46,729 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v' 2024-01-19 03:05:46,729 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v' 2024-01-19 03:05:46,730 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v' 2024-01-19 03:05:46,731 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v' 2024-01-19 03:05:46,732 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v' 2024-01-19 03:05:46,733 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v' 2024-01-19 03:05:46,734 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v' 2024-01-19 03:05:46,735 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v' 2024-01-19 03:05:46,736 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v' 2024-01-19 03:05:46,737 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v' 2024-01-19 03:05:46,738 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v' 2024-01-19 03:05:46,739 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile' 2024-01-19 03:05:46,740 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v' 2024-01-19 03:05:46,741 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v' 2024-01-19 03:05:46,742 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile' 2024-01-19 03:05:46,743 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README' 2024-01-19 03:05:46,744 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c' 2024-01-19 03:05:46,745 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README' 2024-01-19 03:05:46,746 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py' 2024-01-19 03:05:46,747 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v' 2024-01-19 03:05:46,748 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v' 2024-01-19 03:05:46,749 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v' 2024-01-19 03:05:46,750 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v' 2024-01-19 03:05:46,751 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v' 2024-01-19 03:05:46,752 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v' 2024-01-19 03:05:46,753 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 03:05:46,754 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v' 2024-01-19 03:05:46,754 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 03:05:46,755 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 03:05:46,756 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v' 2024-01-19 03:05:46,757 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v' 2024-01-19 03:05:46,758 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v' 2024-01-19 03:05:46,759 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v' 2024-01-19 03:05:46,760 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 03:05:46,761 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v' 2024-01-19 03:05:46,762 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:46,763 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v' 2024-01-19 03:05:46,764 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v' 2024-01-19 03:05:46,765 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:46,766 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v' 2024-01-19 03:05:46,767 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v' 2024-01-19 03:05:46,767 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v' 2024-01-19 03:05:46,768 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v' 2024-01-19 03:05:46,769 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v' 2024-01-19 03:05:46,770 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 03:05:46,771 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v' 2024-01-19 03:05:46,772 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v' 2024-01-19 03:05:46,773 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v' 2024-01-19 03:05:46,774 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v' 2024-01-19 03:05:46,775 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v' 2024-01-19 03:05:46,776 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v' 2024-01-19 03:05:46,777 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v' 2024-01-19 03:05:46,778 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp' 2024-01-19 03:05:46,779 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp' 2024-01-19 03:05:46,780 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v' 2024-01-19 03:05:46,780 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v' 2024-01-19 03:05:46,781 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v' 2024-01-19 03:05:46,782 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v' 2024-01-19 03:05:46,783 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v' 2024-01-19 03:05:46,784 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v' 2024-01-19 03:05:46,785 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile' 2024-01-19 03:05:46,786 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v' 2024-01-19 03:05:46,787 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v' 2024-01-19 03:05:46,788 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v' 2024-01-19 03:05:46,789 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v' 2024-01-19 03:05:46,790 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v' 2024-01-19 03:05:46,791 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v' 2024-01-19 03:05:46,792 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v' 2024-01-19 03:05:46,793 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v' 2024-01-19 03:05:46,794 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile' 2024-01-19 03:05:46,795 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl' 2024-01-19 03:05:46,796 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl' 2024-01-19 03:05:46,797 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl' 2024-01-19 03:05:46,798 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl' 2024-01-19 03:05:46,799 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl' 2024-01-19 03:05:46,800 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl' 2024-01-19 03:05:46,801 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v' 2024-01-19 03:05:46,803 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v' 2024-01-19 03:05:46,804 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v' 2024-01-19 03:05:46,805 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v' 2024-01-19 03:05:46,806 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v' 2024-01-19 03:05:46,807 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v' 2024-01-19 03:05:46,808 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v' 2024-01-19 03:05:46,809 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v' 2024-01-19 03:05:46,810 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v' 2024-01-19 03:05:46,811 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v' 2024-01-19 03:05:46,811 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v' 2024-01-19 03:05:46,812 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v' 2024-01-19 03:05:46,813 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v' 2024-01-19 03:05:46,814 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v' 2024-01-19 03:05:46,815 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v' 2024-01-19 03:05:46,816 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v' 2024-01-19 03:05:46,817 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v' 2024-01-19 03:05:46,818 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v' 2024-01-19 03:05:46,818 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v' 2024-01-19 03:05:46,819 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v' 2024-01-19 03:05:46,820 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v' 2024-01-19 03:05:46,821 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v' 2024-01-19 03:05:46,822 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v' 2024-01-19 03:05:46,823 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v' 2024-01-19 03:05:46,824 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v' 2024-01-19 03:05:46,825 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v' 2024-01-19 03:05:46,825 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v' 2024-01-19 03:05:46,826 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v' 2024-01-19 03:05:46,827 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v' 2024-01-19 03:05:46,828 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v' 2024-01-19 03:05:46,829 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v' 2024-01-19 03:05:46,830 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v' 2024-01-19 03:05:46,831 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v' 2024-01-19 03:05:46,832 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v' 2024-01-19 03:05:46,832 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v' 2024-01-19 03:05:46,833 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v' 2024-01-19 03:05:46,834 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v' 2024-01-19 03:05:46,835 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v' 2024-01-19 03:05:46,836 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v' 2024-01-19 03:05:46,837 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v' 2024-01-19 03:05:46,838 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v' 2024-01-19 03:05:46,839 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v' 2024-01-19 03:05:46,840 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v' 2024-01-19 03:05:46,840 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v' 2024-01-19 03:05:46,841 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v' 2024-01-19 03:05:46,842 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v' 2024-01-19 03:05:46,843 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v' 2024-01-19 03:05:46,844 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v' 2024-01-19 03:05:46,845 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v' 2024-01-19 03:05:46,846 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v' 2024-01-19 03:05:46,847 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v' 2024-01-19 03:05:46,847 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v' 2024-01-19 03:05:46,848 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v' 2024-01-19 03:05:46,849 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v' 2024-01-19 03:05:46,850 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v' 2024-01-19 03:05:46,851 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v' 2024-01-19 03:05:46,852 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v' 2024-01-19 03:05:46,853 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v' 2024-01-19 03:05:46,854 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v' 2024-01-19 03:05:46,855 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v' 2024-01-19 03:05:46,856 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v' 2024-01-19 03:05:46,857 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v' 2024-01-19 03:05:46,858 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v' 2024-01-19 03:05:46,859 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v' 2024-01-19 03:05:46,859 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v' 2024-01-19 03:05:46,860 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v' 2024-01-19 03:05:46,861 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v' 2024-01-19 03:05:46,862 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v' 2024-01-19 03:05:46,863 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v' 2024-01-19 03:05:46,864 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v' 2024-01-19 03:05:46,865 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v' 2024-01-19 03:05:46,866 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v' 2024-01-19 03:05:46,867 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v' 2024-01-19 03:05:46,868 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v' 2024-01-19 03:05:46,869 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v' 2024-01-19 03:05:46,870 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v' 2024-01-19 03:05:46,871 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v' 2024-01-19 03:05:46,871 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v' 2024-01-19 03:05:46,872 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v' 2024-01-19 03:05:46,873 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v' 2024-01-19 03:05:46,874 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v' 2024-01-19 03:05:46,875 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v' 2024-01-19 03:05:46,876 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v' 2024-01-19 03:05:46,877 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v' 2024-01-19 03:05:46,878 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v' 2024-01-19 03:05:46,878 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v' 2024-01-19 03:05:46,879 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v' 2024-01-19 03:05:46,880 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v' 2024-01-19 03:05:46,881 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v' 2024-01-19 03:05:46,882 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v' 2024-01-19 03:05:46,883 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v' 2024-01-19 03:05:46,884 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py' 2024-01-19 03:05:46,885 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v' 2024-01-19 03:05:46,889 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v' 2024-01-19 03:05:46,890 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v' 2024-01-19 03:05:46,891 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v' 2024-01-19 03:05:46,892 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v' 2024-01-19 03:05:46,893 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v' 2024-01-19 03:05:46,894 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v' 2024-01-19 03:05:46,895 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v' 2024-01-19 03:05:46,896 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v' 2024-01-19 03:05:46,896 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v' 2024-01-19 03:05:46,897 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v' 2024-01-19 03:05:46,898 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v' 2024-01-19 03:05:46,899 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v' 2024-01-19 03:05:46,900 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v' 2024-01-19 03:05:46,901 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-01-19 03:05:46,902 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v' 2024-01-19 03:05:46,903 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-01-19 03:05:46,904 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v' 2024-01-19 03:05:46,905 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v' 2024-01-19 03:05:46,906 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v' 2024-01-19 03:05:46,907 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v' 2024-01-19 03:05:46,908 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v' 2024-01-19 03:05:46,909 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v' 2024-01-19 03:05:46,909 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py' 2024-01-19 03:05:46,911 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v' 2024-01-19 03:05:46,915 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh' 2024-01-19 03:05:46,915 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v' 2024-01-19 03:05:46,916 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v' 2024-01-19 03:05:46,917 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v' 2024-01-19 03:05:46,918 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v' 2024-01-19 03:05:46,919 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v' 2024-01-19 03:05:46,920 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v' 2024-01-19 03:05:46,921 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v' 2024-01-19 03:05:46,922 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v' 2024-01-19 03:05:46,924 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh' 2024-01-19 03:05:46,925 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v' 2024-01-19 03:05:46,926 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v' 2024-01-19 03:05:46,927 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v' 2024-01-19 03:05:46,927 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v' 2024-01-19 03:05:46,928 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v' 2024-01-19 03:05:46,929 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v' 2024-01-19 03:05:46,930 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v' 2024-01-19 03:05:46,931 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v' 2024-01-19 03:05:46,932 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v' 2024-01-19 03:05:46,933 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v' 2024-01-19 03:05:46,934 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v' 2024-01-19 03:05:46,935 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v' 2024-01-19 03:05:46,936 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v' 2024-01-19 03:05:46,937 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh' 2024-01-19 03:05:46,938 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v' 2024-01-19 03:05:46,939 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v' 2024-01-19 03:05:46,940 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v' 2024-01-19 03:05:46,941 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v' 2024-01-19 03:05:46,942 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v' 2024-01-19 03:05:46,943 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v' 2024-01-19 03:05:46,944 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore' 2024-01-19 03:05:46,945 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib' 2024-01-19 03:05:46,947 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf' 2024-01-19 03:05:46,952 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex' 2024-01-19 03:05:46,953 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile' 2024-01-19 03:05:46,954 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex' 2024-01-19 03:05:46,955 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex' 2024-01-19 03:05:46,956 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex' 2024-01-19 03:05:46,957 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex' 2024-01-19 03:05:46,958 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex' 2024-01-19 03:05:46,959 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex' 2024-01-19 03:05:46,960 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex' 2024-01-19 03:05:46,961 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex' 2024-01-19 03:05:46,962 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png' 2024-01-19 03:05:46,965 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile' 2024-01-19 03:05:46,966 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v' 2024-01-19 03:05:46,967 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v' 2024-01-19 03:05:46,968 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v' 2024-01-19 03:05:46,969 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v' 2024-01-19 03:05:46,970 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in' 2024-01-19 03:05:46,971 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py' 2024-01-19 03:05:46,972 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v' 2024-01-19 03:05:46,973 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v' 2024-01-19 03:05:46,974 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v' 2024-01-19 03:05:46,975 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v' 2024-01-19 03:05:46,977 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v' 2024-01-19 03:05:46,978 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v' 2024-01-19 03:05:46,979 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v' 2024-01-19 03:05:46,979 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v' 2024-01-19 03:05:46,981 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v' 2024-01-19 03:05:46,982 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v' 2024-01-19 03:05:46,982 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v' 2024-01-19 03:05:46,984 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp' 2024-01-19 03:05:46,985 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh' 2024-01-19 03:05:46,986 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v' 2024-01-19 03:05:46,987 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v' 2024-01-19 03:05:46,987 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v' 2024-01-19 03:05:46,988 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v' 2024-01-19 03:05:46,989 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v' 2024-01-19 03:05:46,990 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v' 2024-01-19 03:05:46,991 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp' 2024-01-19 03:05:46,992 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp' 2024-01-19 03:05:46,993 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp' 2024-01-19 03:05:46,994 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v' 2024-01-19 03:05:46,995 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp' 2024-01-19 03:05:46,996 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v' 2024-01-19 03:05:46,997 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp' 2024-01-19 03:05:46,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp' 2024-01-19 03:05:46,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v' 2024-01-19 03:05:47,000 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp' 2024-01-19 03:05:47,001 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v' 2024-01-19 03:05:47,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp' 2024-01-19 03:05:47,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v' 2024-01-19 03:05:47,003 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v' 2024-01-19 03:05:47,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh' 2024-01-19 03:05:47,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v' 2024-01-19 03:05:47,006 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v' 2024-01-19 03:05:47,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v' 2024-01-19 03:05:47,008 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v' 2024-01-19 03:05:47,009 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v' 2024-01-19 03:05:47,010 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v' 2024-01-19 03:05:47,011 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v' 2024-01-19 03:05:47,012 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v' 2024-01-19 03:05:47,013 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v' 2024-01-19 03:05:47,014 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp' 2024-01-19 03:05:47,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v' 2024-01-19 03:05:47,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk' 2024-01-19 03:05:47,017 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v' 2024-01-19 03:05:47,017 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v' 2024-01-19 03:05:47,018 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v' 2024-01-19 03:05:47,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile' 2024-01-19 03:05:47,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf' 2024-01-19 03:05:47,032 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md' 2024-01-19 03:05:47,033 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md' 2024-01-19 03:05:47,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v' 2024-01-19 03:05:47,035 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v' 2024-01-19 03:05:47,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification' 2024-01-19 03:05:47,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 03:05:47,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-01-19 03:05:47,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-01-19 03:05:47,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-01-19 03:05:47,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile' 2024-01-19 03:05:47,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp' 2024-01-19 03:05:47,043 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp' 2024-01-19 03:05:47,044 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp' 2024-01-19 03:05:47,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp' 2024-01-19 03:05:47,046 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx' 2024-01-19 03:05:47,047 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v' 2024-01-19 03:05:47,048 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v' 2024-01-19 03:05:47,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl' 2024-01-19 03:05:47,050 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl' 2024-01-19 03:05:47,051 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 03:05:47,052 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh' 2024-01-19 03:05:47,053 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 03:05:47,054 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh' 2024-01-19 03:05:47,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:47,056 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh' 2024-01-19 03:05:47,056 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:47,057 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh' 2024-01-19 03:05:47,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 03:05:47,059 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh' 2024-01-19 03:05:47,060 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v' 2024-01-19 03:05:47,061 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh' 2024-01-19 03:05:47,062 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py' 2024-01-19 03:05:47,063 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py' 2024-01-19 03:05:47,064 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py' 2024-01-19 03:05:47,065 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v' 2024-01-19 03:05:47,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py' 2024-01-19 03:05:47,067 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py' 2024-01-19 03:05:47,068 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v' 2024-01-19 03:05:47,069 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v' 2024-01-19 03:05:47,070 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 03:05:47,071 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:47,072 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:47,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 03:05:47,074 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 03:05:47,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 03:05:47,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 03:05:47,077 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:47,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:47,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v' 2024-01-19 03:05:47,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v' 2024-01-19 03:05:47,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v' 2024-01-19 03:05:47,082 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v' 2024-01-19 03:05:47,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v' 2024-01-19 03:05:47,084 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v' 2024-01-19 03:05:47,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 03:05:47,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 03:05:47,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:47,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:47,089 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 03:05:47,090 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v' 2024-01-19 03:05:47,091 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v' 2024-01-19 03:05:47,091 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v' 2024-01-19 03:05:47,093 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification' 2024-01-19 03:05:47,093 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 03:05:47,094 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-01-19 03:05:47,095 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-01-19 03:05:47,096 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-01-19 03:05:47,097 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile' 2024-01-19 03:05:47,098 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp' 2024-01-19 03:05:47,099 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp' 2024-01-19 03:05:47,100 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp' 2024-01-19 03:05:47,101 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp' 2024-01-19 03:05:47,102 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx' 2024-01-19 03:05:47,103 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v' 2024-01-19 03:05:47,104 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py' 2024-01-19 03:05:47,105 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 03:05:47,106 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 03:05:47,107 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 03:05:47,108 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:47,109 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:47,110 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v' 2024-01-19 03:05:47,111 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 03:05:47,112 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py' 2024-01-19 03:05:47,113 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v' 2024-01-19 03:05:47,114 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v' 2024-01-19 03:05:47,115 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v' 2024-01-19 03:05:47,116 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v' 2024-01-19 03:05:47,117 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v' 2024-01-19 03:05:47,118 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py' 2024-01-19 03:05:47,119 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v' 2024-01-19 03:05:47,120 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v' 2024-01-19 03:05:47,121 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py' 2024-01-19 03:05:47,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v' 2024-01-19 03:05:47,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v' 2024-01-19 03:05:47,123 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py' 2024-01-19 03:05:47,124 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v' 2024-01-19 03:05:47,125 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v' 2024-01-19 03:05:47,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v' 2024-01-19 03:05:47,127 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v' 2024-01-19 03:05:47,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py' 2024-01-19 03:05:47,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v' 2024-01-19 03:05:47,130 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v' 2024-01-19 03:05:47,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v' 2024-01-19 03:05:47,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v' 2024-01-19 03:05:47,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README' 2024-01-19 03:05:47,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py' 2024-01-19 03:05:47,134 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py' 2024-01-19 03:05:47,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py' 2024-01-19 03:05:47,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py' 2024-01-19 03:05:47,138 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py' 2024-01-19 03:05:47,139 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v' 2024-01-19 03:05:47,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-01-19 03:05:47,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-01-19 03:05:47,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl' 2024-01-19 03:05:47,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification' 2024-01-19 03:05:47,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 03:05:47,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v' 2024-01-19 03:05:47,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-01-19 03:05:47,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-01-19 03:05:47,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-01-19 03:05:47,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile' 2024-01-19 03:05:47,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp' 2024-01-19 03:05:47,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp' 2024-01-19 03:05:47,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp' 2024-01-19 03:05:47,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp' 2024-01-19 03:05:47,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp' 2024-01-19 03:05:47,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp' 2024-01-19 03:05:47,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx' 2024-01-19 03:05:47,156 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 03:05:47,157 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 03:05:47,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 03:05:47,159 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 03:05:47,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:47,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 03:05:47,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v' 2024-01-19 03:05:47,163 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 03:05:47,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py' 2024-01-19 03:05:47,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v' 2024-01-19 03:05:47,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v' 2024-01-19 03:05:47,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v' 2024-01-19 03:05:47,168 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v' 2024-01-19 03:05:47,169 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v' 2024-01-19 03:05:47,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py' 2024-01-19 03:05:47,171 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v' 2024-01-19 03:05:47,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v' 2024-01-19 03:05:47,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py' 2024-01-19 03:05:47,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v' 2024-01-19 03:05:47,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v' 2024-01-19 03:05:47,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v' 2024-01-19 03:05:47,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py' 2024-01-19 03:05:47,178 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v' 2024-01-19 03:05:47,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v' 2024-01-19 03:05:47,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v' 2024-01-19 03:05:47,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v' 2024-01-19 03:05:47,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py' 2024-01-19 03:05:47,182 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v' 2024-01-19 03:05:47,183 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v' 2024-01-19 03:05:47,184 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v' 2024-01-19 03:05:47,185 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v' 2024-01-19 03:05:47,186 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README' 2024-01-19 03:05:47,187 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py' 2024-01-19 03:05:47,188 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py' 2024-01-19 03:05:47,189 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py' 2024-01-19 03:05:47,190 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py' 2024-01-19 03:05:47,191 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py' 2024-01-19 03:05:47,192 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v' 2024-01-19 03:05:47,193 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-01-19 03:05:47,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-01-19 03:05:47,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl' 2024-01-19 03:05:47,196 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 03:05:47,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v' 2024-01-19 03:05:47,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile' 2024-01-19 03:05:47,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore' 2024-01-19 03:05:47,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim' 2024-01-19 03:05:47,201 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore' 2024-01-19 03:05:47,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile' 2024-01-19 03:05:47,203 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md' 2024-01-19 03:05:47,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py' 2024-01-19 03:05:47,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include' 2024-01-19 03:05:47,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v' 2024-01-19 03:05:47,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl' 2024-01-19 03:05:47,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore' 2024-01-19 03:05:47,209 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v' 2024-01-19 03:05:47,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py' 2024-01-19 03:05:47,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v' 2024-01-19 03:05:47,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v' 2024-01-19 03:05:47,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v' 2024-01-19 03:05:47,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore' 2024-01-19 03:05:47,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile' 2024-01-19 03:05:47,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v' 2024-01-19 03:05:47,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v' 2024-01-19 03:05:47,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py' 2024-01-19 03:05:47,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include' 2024-01-19 03:05:47,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v' 2024-01-19 03:05:47,220 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl' 2024-01-19 03:05:47,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore' 2024-01-19 03:05:47,222 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile' 2024-01-19 03:05:47,223 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md' 2024-01-19 03:05:47,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v' 2024-01-19 03:05:47,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include' 2024-01-19 03:05:47,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh' 2024-01-19 03:05:47,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py' 2024-01-19 03:05:47,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py' 2024-01-19 03:05:47,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py' 2024-01-19 03:05:47,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py' 2024-01-19 03:05:47,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py' 2024-01-19 03:05:47,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v' 2024-01-19 03:05:47,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile' 2024-01-19 03:05:47,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md' 2024-01-19 03:05:47,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v' 2024-01-19 03:05:47,235 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include' 2024-01-19 03:05:47,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v' 2024-01-19 03:05:47,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore' 2024-01-19 03:05:47,238 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile' 2024-01-19 03:05:47,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md' 2024-01-19 03:05:47,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include' 2024-01-19 03:05:47,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v' 2024-01-19 03:05:47,242 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py' 2024-01-19 03:05:47,243 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py' 2024-01-19 03:05:47,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py' 2024-01-19 03:05:47,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore' 2024-01-19 03:05:47,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile' 2024-01-19 03:05:47,247 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md' 2024-01-19 03:05:47,247 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v' 2024-01-19 03:05:47,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include' 2024-01-19 03:05:47,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py' 2024-01-19 03:05:47,250 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py' 2024-01-19 03:05:47,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py' 2024-01-19 03:05:47,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py' 2024-01-19 03:05:47,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py' 2024-01-19 03:05:47,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py' 2024-01-19 03:05:47,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py' 2024-01-19 03:05:47,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py' 2024-01-19 03:05:47,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py' 2024-01-19 03:05:47,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py' 2024-01-19 03:05:47,258 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py' 2024-01-19 03:05:47,259 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v' 2024-01-19 03:05:47,260 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh' 2024-01-19 03:05:47,261 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore' 2024-01-19 03:05:47,262 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile' 2024-01-19 03:05:47,263 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md' 2024-01-19 03:05:47,264 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v' 2024-01-19 03:05:47,265 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v' 2024-01-19 03:05:47,266 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v' 2024-01-19 03:05:47,267 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py' 2024-01-19 03:05:47,268 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl' 2024-01-19 03:05:47,269 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v' 2024-01-19 03:05:47,270 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v' 2024-01-19 03:05:47,271 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v' 2024-01-19 03:05:47,272 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v' 2024-01-19 03:05:47,273 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el' 2024-01-19 03:05:47,274 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include' 2024-01-19 03:05:47,275 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v' 2024-01-19 03:05:47,276 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py' 2024-01-19 03:05:47,277 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py' 2024-01-19 03:05:47,278 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py' 2024-01-19 03:05:47,279 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py' 2024-01-19 03:05:47,279 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py' 2024-01-19 03:05:47,280 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py' 2024-01-19 03:05:47,281 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py' 2024-01-19 03:05:47,282 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py' 2024-01-19 03:05:47,283 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py' 2024-01-19 03:05:47,284 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py' 2024-01-19 03:05:47,285 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py' 2024-01-19 03:05:47,286 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py' 2024-01-19 03:05:47,287 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py' 2024-01-19 03:05:47,288 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py' 2024-01-19 03:05:47,288 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py' 2024-01-19 03:05:47,289 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py' 2024-01-19 03:05:47,290 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py' 2024-01-19 03:05:47,291 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py' 2024-01-19 03:05:47,292 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py' 2024-01-19 03:05:47,293 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py' 2024-01-19 03:05:47,294 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py' 2024-01-19 03:05:47,295 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py' 2024-01-19 03:05:47,296 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py' 2024-01-19 03:05:47,296 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py' 2024-01-19 03:05:47,297 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py' 2024-01-19 03:05:47,298 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py' 2024-01-19 03:05:47,299 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py' 2024-01-19 03:05:47,300 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v' 2024-01-19 03:05:47,301 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore' 2024-01-19 03:05:47,302 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile' 2024-01-19 03:05:47,303 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include' 2024-01-19 03:05:47,304 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh' 2024-01-19 03:05:47,305 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py' 2024-01-19 03:05:47,306 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py' 2024-01-19 03:05:47,307 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py' 2024-01-19 03:05:47,308 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py' 2024-01-19 03:05:47,308 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py' 2024-01-19 03:05:47,309 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py' 2024-01-19 03:05:47,310 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py' 2024-01-19 03:05:47,311 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py' 2024-01-19 03:05:47,312 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py' 2024-01-19 03:05:47,313 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py' 2024-01-19 03:05:47,314 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py' 2024-01-19 03:05:47,315 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py' 2024-01-19 03:05:47,316 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py' 2024-01-19 03:05:47,317 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py' 2024-01-19 03:05:47,317 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py' 2024-01-19 03:05:47,318 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py' 2024-01-19 03:05:47,319 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py' 2024-01-19 03:05:47,320 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py' 2024-01-19 03:05:47,321 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py' 2024-01-19 03:05:47,322 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py' 2024-01-19 03:05:47,323 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py' 2024-01-19 03:05:47,324 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v' 2024-01-19 03:05:47,325 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile' 2024-01-19 03:05:47,326 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md' 2024-01-19 03:05:47,327 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v' 2024-01-19 03:05:47,328 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v' 2024-01-19 03:05:47,329 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile' 2024-01-19 03:05:47,330 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v' 2024-01-19 03:05:47,331 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile' 2024-01-19 03:05:47,332 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v' 2024-01-19 03:05:47,333 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile' 2024-01-19 03:05:47,334 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v' 2024-01-19 03:05:47,335 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile' 2024-01-19 03:05:47,336 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v' 2024-01-19 03:05:47,337 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile' 2024-01-19 03:05:47,338 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v' 2024-01-19 03:05:47,339 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v' 2024-01-19 03:05:47,341 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile' 2024-01-19 03:05:47,341 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README' 2024-01-19 03:05:47,342 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v' 2024-01-19 03:05:47,343 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v' 2024-01-19 03:05:47,344 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist' 2024-01-19 03:05:47,345 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile' 2024-01-19 03:05:47,346 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include' 2024-01-19 03:05:47,347 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v' 2024-01-19 03:05:47,348 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile' 2024-01-19 03:05:47,349 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v' 2024-01-19 03:05:47,350 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include' 2024-01-19 03:05:47,351 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v' 2024-01-19 03:05:47,352 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile' 2024-01-19 03:05:47,353 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README' 2024-01-19 03:05:47,354 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v' 2024-01-19 03:05:47,355 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v' 2024-01-19 03:05:47,356 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist' 2024-01-19 03:05:47,357 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore' 2024-01-19 03:05:47,358 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile' 2024-01-19 03:05:47,358 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include' 2024-01-19 03:05:47,359 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v' 2024-01-19 03:05:47,360 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore' 2024-01-19 03:05:47,361 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile' 2024-01-19 03:05:47,362 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include' 2024-01-19 03:05:47,363 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v' 2024-01-19 03:05:47,364 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore' 2024-01-19 03:05:47,365 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile' 2024-01-19 03:05:47,366 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include' 2024-01-19 03:05:47,367 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v' 2024-01-19 03:05:47,368 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile' 2024-01-19 03:05:47,369 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst' 2024-01-19 03:05:47,370 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v' 2024-01-19 03:05:47,371 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v' 2024-01-19 03:05:47,372 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh' 2024-01-19 03:05:47,373 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh' 2024-01-19 03:05:47,374 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh' 2024-01-19 03:05:47,375 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh' 2024-01-19 03:05:47,376 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh' 2024-01-19 03:05:47,378 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v' 2024-01-19 03:05:47,380 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v' 2024-01-19 03:05:47,381 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt' 2024-01-19 03:05:47,382 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh' 2024-01-19 03:05:47,383 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do' 2024-01-19 03:05:47,384 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v' 2024-01-19 03:05:47,386 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore' 2024-01-19 03:05:47,387 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile' 2024-01-19 03:05:47,387 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c' 2024-01-19 03:05:47,388 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include' 2024-01-19 03:05:47,389 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include' 2024-01-19 03:05:47,390 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v' 2024-01-19 03:05:47,391 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile' 2024-01-19 03:05:47,392 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include' 2024-01-19 03:05:47,393 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v' 2024-01-19 03:05:47,394 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile' 2024-01-19 03:05:47,395 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c' 2024-01-19 03:05:47,396 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include' 2024-01-19 03:05:47,397 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include' 2024-01-19 03:05:47,397 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v' 2024-01-19 03:05:47,398 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c' 2024-01-19 03:05:47,399 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h' 2024-01-19 03:05:47,400 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile' 2024-01-19 03:05:47,401 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c' 2024-01-19 03:05:47,402 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include' 2024-01-19 03:05:47,403 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include' 2024-01-19 03:05:47,404 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v' 2024-01-19 03:05:47,405 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile' 2024-01-19 03:05:47,406 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c' 2024-01-19 03:05:47,407 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include' 2024-01-19 03:05:47,408 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include' 2024-01-19 03:05:47,409 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v' 2024-01-19 03:05:47,410 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile' 2024-01-19 03:05:47,411 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c' 2024-01-19 03:05:47,411 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include' 2024-01-19 03:05:47,412 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include' 2024-01-19 03:05:47,413 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v' 2024-01-19 03:05:47,414 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile' 2024-01-19 03:05:47,415 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README' 2024-01-19 03:05:47,416 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v' 2024-01-19 03:05:47,417 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v' 2024-01-19 03:05:47,418 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v' 2024-01-19 03:05:47,419 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist' 2024-01-19 03:05:47,420 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile' 2024-01-19 03:05:47,421 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v' 2024-01-19 03:05:47,422 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v' 2024-01-19 03:05:47,423 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist' 2024-01-19 03:05:47,424 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore' 2024-01-19 03:05:47,425 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile' 2024-01-19 03:05:47,426 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include' 2024-01-19 03:05:47,427 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v' 2024-01-19 03:05:47,428 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore' 2024-01-19 03:05:47,429 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile' 2024-01-19 03:05:47,430 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include' 2024-01-19 03:05:47,431 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v' 2024-01-19 03:05:47,432 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile' 2024-01-19 03:05:47,433 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v' 2024-01-19 03:05:47,434 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile' 2024-01-19 03:05:47,435 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v' 2024-01-19 03:05:47,436 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile' 2024-01-19 03:05:47,437 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v' 2024-01-19 03:05:47,438 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile' 2024-01-19 03:05:47,439 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v' 2024-01-19 03:05:47,440 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore' 2024-01-19 03:05:47,441 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile' 2024-01-19 03:05:47,442 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v' 2024-01-19 03:05:47,443 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py' 2024-01-19 03:05:47,444 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp' 2024-01-19 03:05:47,445 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v' 2024-01-19 03:05:47,446 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v' 2024-01-19 03:05:47,447 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile' 2024-01-19 03:05:47,448 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v' 2024-01-19 03:05:47,449 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile' 2024-01-19 03:05:47,450 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v' 2024-01-19 03:05:47,451 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile' 2024-01-19 03:05:47,452 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v' 2024-01-19 03:05:47,453 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile' 2024-01-19 03:05:47,454 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v' 2024-01-19 03:05:47,455 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile' 2024-01-19 03:05:47,456 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v' 2024-01-19 03:05:47,457 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile' 2024-01-19 03:05:47,458 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v' 2024-01-19 03:05:47,459 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile' 2024-01-19 03:05:47,460 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v' 2024-01-19 03:05:47,461 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile' 2024-01-19 03:05:47,462 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v' 2024-01-19 03:05:47,463 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v' 2024-01-19 03:05:47,464 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile' 2024-01-19 03:05:47,465 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v' 2024-01-19 03:05:47,466 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile' 2024-01-19 03:05:47,467 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v' 2024-01-19 03:05:47,468 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc' 2024-01-19 03:05:47,469 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile' 2024-01-19 03:05:47,470 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files' 2024-01-19 03:05:47,471 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim' 2024-01-19 03:05:47,472 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim' 2024-01-19 03:05:47,473 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim' 2024-01-19 03:05:47,474 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c' 2024-01-19 03:05:47,474 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab' 2024-01-19 03:05:47,475 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py' 2024-01-19 03:05:47,476 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt' 2024-01-19 03:05:47,477 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c' 2024-01-19 03:05:47,478 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v' 2024-01-19 03:05:47,479 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt' 2024-01-19 03:05:47,480 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c' 2024-01-19 03:05:47,481 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile' 2024-01-19 03:05:47,482 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f' 2024-01-19 03:05:47,483 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v' 2024-01-19 03:05:47,484 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile' 2024-01-19 03:05:47,485 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include' 2024-01-19 03:05:47,486 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v' 2024-01-19 03:05:47,487 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile' 2024-01-19 03:05:47,487 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include' 2024-01-19 03:05:47,488 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v' 2024-01-19 03:05:47,489 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile' 2024-01-19 03:05:47,490 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include' 2024-01-19 03:05:47,491 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v' 2024-01-19 03:05:47,492 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile' 2024-01-19 03:05:47,493 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v' 2024-01-19 03:05:47,494 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile' 2024-01-19 03:05:47,495 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v' 2024-01-19 03:05:47,496 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile' 2024-01-19 03:05:47,497 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v' 2024-01-19 03:05:47,498 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile' 2024-01-19 03:05:47,499 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v' 2024-01-19 03:05:47,500 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v' 2024-01-19 03:05:47,501 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile' 2024-01-19 03:05:47,502 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v' 2024-01-19 03:05:47,503 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile' 2024-01-19 03:05:47,504 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include' 2024-01-19 03:05:47,505 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v' 2024-01-19 03:05:47,506 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v' 2024-01-19 03:05:47,507 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile' 2024-01-19 03:05:47,508 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v' 2024-01-19 03:05:47,509 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile' 2024-01-19 03:05:47,510 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v' 2024-01-19 03:05:47,511 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile' 2024-01-19 03:05:47,512 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v' 2024-01-19 03:05:47,513 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile' 2024-01-19 03:05:47,514 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v' 2024-01-19 03:05:47,515 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile' 2024-01-19 03:05:47,516 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v' 2024-01-19 03:05:47,517 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile' 2024-01-19 03:05:47,518 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v' 2024-01-19 03:05:47,519 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile' 2024-01-19 03:05:47,520 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v' 2024-01-19 03:05:47,521 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile' 2024-01-19 03:05:47,522 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include' 2024-01-19 03:05:47,523 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v' 2024-01-19 03:05:47,524 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v' 2024-01-19 03:05:47,525 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile' 2024-01-19 03:05:47,526 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v' 2024-01-19 03:05:47,528 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile' 2024-01-19 03:05:47,528 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md' 2024-01-19 03:05:47,529 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include' 2024-01-19 03:05:47,530 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh' 2024-01-19 03:05:47,531 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v' 2024-01-19 03:05:47,532 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v' 2024-01-19 03:05:47,533 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v' 2024-01-19 03:05:47,534 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v' 2024-01-19 03:05:47,535 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile' 2024-01-19 03:05:47,536 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README' 2024-01-19 03:05:47,537 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v' 2024-01-19 03:05:47,538 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v' 2024-01-19 03:05:47,539 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist' 2024-01-19 03:05:47,540 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile' 2024-01-19 03:05:47,541 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README' 2024-01-19 03:05:47,542 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v' 2024-01-19 03:05:47,543 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v' 2024-01-19 03:05:47,544 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v' 2024-01-19 03:05:47,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist' 2024-01-19 03:05:47,546 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile' 2024-01-19 03:05:47,547 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README' 2024-01-19 03:05:47,548 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v' 2024-01-19 03:05:47,549 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v' 2024-01-19 03:05:47,550 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist' 2024-01-19 03:05:47,551 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile' 2024-01-19 03:05:47,552 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v' 2024-01-19 03:05:47,553 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include' 2024-01-19 03:05:47,554 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v' 2024-01-19 03:05:47,555 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile' 2024-01-19 03:05:47,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v' 2024-01-19 03:05:47,557 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include' 2024-01-19 03:05:47,558 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v' 2024-01-19 03:05:47,559 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile' 2024-01-19 03:05:47,560 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v' 2024-01-19 03:05:47,561 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile' 2024-01-19 03:05:47,562 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp' 2024-01-19 03:05:47,563 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v' 2024-01-19 03:05:47,564 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile' 2024-01-19 03:05:47,565 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp' 2024-01-19 03:05:47,566 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v' 2024-01-19 03:05:47,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore' 2024-01-19 03:05:47,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile' 2024-01-19 03:05:47,568 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py' 2024-01-19 03:05:47,569 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py' 2024-01-19 03:05:47,570 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include' 2024-01-19 03:05:47,571 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v' 2024-01-19 03:05:47,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v' 2024-01-19 03:05:47,573 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore' 2024-01-19 03:05:47,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile' 2024-01-19 03:05:47,575 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py' 2024-01-19 03:05:47,576 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py' 2024-01-19 03:05:47,577 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include' 2024-01-19 03:05:47,578 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v' 2024-01-19 03:05:47,579 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile' 2024-01-19 03:05:47,580 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v' 2024-01-19 03:05:47,581 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile' 2024-01-19 03:05:47,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v' 2024-01-19 03:05:47,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out' 2024-01-19 03:05:47,583 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in' 2024-01-19 03:05:47,584 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v' 2024-01-19 03:05:47,585 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore' 2024-01-19 03:05:47,586 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile' 2024-01-19 03:05:47,587 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py' 2024-01-19 03:05:47,588 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py' 2024-01-19 03:05:47,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py' 2024-01-19 03:05:47,590 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v' 2024-01-19 03:05:47,591 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py' 2024-01-19 03:05:47,592 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py' 2024-01-19 03:05:47,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py' 2024-01-19 03:05:47,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py' 2024-01-19 03:05:47,594 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore' 2024-01-19 03:05:47,595 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile' 2024-01-19 03:05:47,596 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v' 2024-01-19 03:05:47,597 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py' 2024-01-19 03:05:47,598 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py' 2024-01-19 03:05:47,599 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py' 2024-01-19 03:05:47,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py' 2024-01-19 03:05:47,601 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py' 2024-01-19 03:05:47,602 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v' 2024-01-19 03:05:47,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py' 2024-01-19 03:05:47,604 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v' 2024-01-19 03:05:47,605 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore' 2024-01-19 03:05:47,606 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common' 2024-01-19 03:05:47,607 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v' 2024-01-19 03:05:47,608 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem' 2024-01-19 03:05:47,609 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga' 2024-01-19 03:05:47,610 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator' 2024-01-19 03:05:47,612 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v' 2024-01-19 03:05:47,613 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v' 2024-01-19 03:05:47,615 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/LICENSE' 2024-01-19 03:05:47,615 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/METADATA' 2024-01-19 03:05:47,616 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/WHEEL' 2024-01-19 03:05:47,617 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/top_level.txt' 2024-01-19 03:05:47,675 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/RECORD' 2024-01-19 03:05:47,711 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:05:47,807 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cv32e40p 2024-01-19 03:05:48,888 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:05:49,102 root INFO running bdist_wheel 2024-01-19 03:05:49,177 root INFO running build 2024-01-19 03:05:49,178 root INFO running build_py 2024-01-19 03:05:49,189 root INFO creating build 2024-01-19 03:05:49,189 root INFO creating build/lib 2024-01-19 03:05:49,189 root INFO creating build/lib/pythondata_cpu_cv32e40p 2024-01-19 03:05:49,190 root INFO copying pythondata_cpu_cv32e40p/__init__.py -> build/lib/pythondata_cpu_cv32e40p 2024-01-19 03:05:49,194 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,194 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,195 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,196 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,205 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb 2024-01-19 03:05:49,205 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,205 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,206 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,218 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,218 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,218 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,219 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,220 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,220 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,239 root INFO running egg_info 2024-01-19 03:05:49,239 root INFO creating pythondata_cpu_cv32e40p.egg-info 2024-01-19 03:05:49,246 root INFO writing pythondata_cpu_cv32e40p.egg-info/PKG-INFO 2024-01-19 03:05:49,248 root INFO writing dependency_links to pythondata_cpu_cv32e40p.egg-info/dependency_links.txt 2024-01-19 03:05:49,250 root INFO writing top-level names to pythondata_cpu_cv32e40p.egg-info/top_level.txt 2024-01-19 03:05:49,250 root INFO writing manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-01-19 03:05:49,327 root INFO reading manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-01-19 03:05:49,328 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:05:49,381 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:05:49,382 root INFO adding license file 'LICENSE' 2024-01-19 03:05:49,436 root INFO writing manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-01-19 03:05:49,575 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,576 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,577 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,578 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,579 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.travis.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,579 root INFO copying pythondata_cpu_cv32e40p/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,580 root INFO copying pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,581 root INFO copying pythondata_cpu_cv32e40p/system_verilog/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,582 root INFO copying pythondata_cpu_cv32e40p/system_verilog/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,583 root INFO copying pythondata_cpu_cv32e40p/system_verilog/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,583 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,584 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,585 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,586 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,587 root INFO copying pythondata_cpu_cv32e40p/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:49,587 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,588 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,589 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,590 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,591 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,592 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,593 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,593 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,594 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,595 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,596 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,598 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,598 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,599 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,600 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,601 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,602 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,603 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,604 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,604 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,605 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,606 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,607 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,608 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,608 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,609 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:49,610 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 03:05:49,611 root INFO copying pythondata_cpu_cv32e40p/system_verilog/pd/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 03:05:49,611 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,612 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,613 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,613 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,614 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,615 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,616 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,617 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:49,618 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:49,618 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:49,619 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:49,620 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:49,624 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,624 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,625 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,626 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,627 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,628 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,628 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,629 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:49,630 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:49,630 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:49,631 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:49,632 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:49,633 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:49,634 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:49,635 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:49,636 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 03:05:49,636 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 03:05:49,637 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,637 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,638 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,639 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,640 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,640 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,641 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,642 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,643 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,644 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,644 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,645 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,646 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,647 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,648 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,649 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,649 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,650 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,651 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,652 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,653 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:49,653 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:49,654 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:49,655 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:49,655 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:49,656 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:49,657 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:49,658 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 03:05:49,658 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 03:05:49,659 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,660 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,660 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,661 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,662 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,663 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,663 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,664 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,665 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,666 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,666 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,667 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,668 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,669 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:49,669 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 03:05:49,670 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 03:05:49,671 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:49,671 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:49,672 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:49,672 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:49,673 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:49,674 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:49,675 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:49,675 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:49,676 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:49,677 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:49,677 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:49,678 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:49,679 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 03:05:49,679 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 03:05:49,680 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:49,680 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:49,681 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:49,682 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:49,683 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:49,683 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:49,684 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:49,685 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:49,685 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:49,686 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:49,687 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:49,688 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:49,688 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 03:05:49,689 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 03:05:49,690 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 03:05:49,690 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,691 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,691 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,692 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,693 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,694 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,695 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,695 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,696 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,697 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,698 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,699 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,699 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,700 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,701 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,702 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,702 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,703 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,704 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,705 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,705 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,706 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,707 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,708 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,709 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,709 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,710 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,711 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,712 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,713 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,713 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,714 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,715 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,716 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,716 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,717 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,718 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,719 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,720 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,720 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,721 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,722 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,723 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,723 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,724 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,725 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,726 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,727 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,727 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,728 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,729 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,730 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,731 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,731 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,732 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,733 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,734 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:49,734 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:49,735 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:49,736 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:49,736 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:49,737 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:49,738 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:49,739 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:49,739 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:49,740 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:49,740 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:49,741 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:49,742 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:49,742 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:49,743 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:49,744 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:49,745 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,745 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,746 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,747 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,748 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,748 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,749 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,750 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,751 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,752 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:49,752 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:49,753 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:49,753 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:49,754 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:49,755 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:49,756 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:49,757 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,757 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,758 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,758 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,759 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,760 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,761 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,761 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,762 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,763 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,764 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,764 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,765 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,766 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,767 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:49,767 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,768 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,769 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,769 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,770 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,771 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,771 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,772 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,773 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,774 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,774 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,775 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,776 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,777 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,777 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,778 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,779 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,780 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,780 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,781 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,782 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,783 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,783 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,784 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,785 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,786 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,786 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,787 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,788 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,789 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,789 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,790 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,791 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,792 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,792 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,793 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,794 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,795 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,795 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,796 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,797 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:49,798 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,798 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,799 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,799 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,800 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,801 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,802 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,802 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,803 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,804 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,805 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,805 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,806 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:49,807 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,807 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,808 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,809 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,810 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,810 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,811 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,812 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,813 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,813 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,814 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,815 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:49,816 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,816 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,817 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,817 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,818 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,819 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,820 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,820 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:49,821 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,822 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,822 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,823 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,824 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,825 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,825 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,826 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,827 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,828 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,828 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,829 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,830 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:49,830 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,831 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,832 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,832 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,833 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,834 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,835 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,835 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,836 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,837 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:49,838 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 03:05:49,838 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 03:05:49,839 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 03:05:49,840 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,840 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,841 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,842 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,842 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,843 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,844 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,845 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,845 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,846 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,847 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,848 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,848 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:49,849 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,849 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,850 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,851 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,852 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,852 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,853 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,854 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,855 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,856 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,856 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:49,857 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,858 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,858 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,859 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,860 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,861 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,861 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,862 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,863 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,864 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,864 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,865 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,866 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,867 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:49,868 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 03:05:49,868 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 03:05:49,869 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 03:05:49,870 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,870 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,871 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,872 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,872 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,873 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,874 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,875 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,876 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,876 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,877 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:49,878 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,878 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,879 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,880 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,881 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,881 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,882 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,883 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:49,883 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,884 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,885 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,885 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,886 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,887 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,888 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,888 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,889 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,890 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,891 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,891 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,892 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,893 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,894 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,894 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,895 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,896 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,896 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,897 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,898 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:49,899 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,899 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,900 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,901 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,901 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,902 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,903 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,904 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,904 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,905 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,906 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,907 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,907 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,908 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,909 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,910 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,910 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,911 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,912 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,913 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,913 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,914 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,915 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,916 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,916 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,917 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,918 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,919 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,919 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,920 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,921 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,922 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,922 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,923 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,924 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,925 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,925 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,926 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,927 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,928 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,929 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,929 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,930 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,931 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,932 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,932 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,933 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,934 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,935 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,935 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,936 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,937 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,938 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:49,938 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros 2024-01-19 03:05:49,939 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 03:05:49,939 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 03:05:49,940 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,941 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,942 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,942 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,943 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,944 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,945 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:49,945 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:49,946 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:49,947 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:49,947 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:49,948 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:49,949 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:49,950 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:49,950 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:49,951 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:49,952 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:49,952 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:49,953 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:49,954 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:49,955 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,955 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,956 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,956 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,957 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,958 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,959 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,959 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:49,960 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,961 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,961 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,962 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,963 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,964 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,965 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,965 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,966 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,967 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:49,968 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,968 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,969 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,970 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,970 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,971 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,972 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,973 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,973 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,974 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,975 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,976 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,976 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,977 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,978 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,979 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,979 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,980 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,981 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,982 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,982 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:49,983 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,984 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,985 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,985 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,986 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,987 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:49,988 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,989 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,989 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,990 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,991 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,992 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,993 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:49,993 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:49,994 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:49,995 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:49,995 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:49,996 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:49,997 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:49,997 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:49,998 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:49,999 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,000 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,000 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,001 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,002 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,002 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,003 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,004 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,005 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,005 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,006 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 03:05:50,006 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 03:05:50,007 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,008 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,008 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,009 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,010 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 03:05:50,010 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 03:05:50,011 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 03:05:50,012 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,012 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,013 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,014 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,015 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,015 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,016 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,017 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,018 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,018 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,019 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,020 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,021 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 03:05:50,021 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 03:05:50,022 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,022 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,023 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,024 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,025 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,025 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,026 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,027 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,028 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,028 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,029 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,030 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,031 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,031 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,032 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,033 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 03:05:50,033 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 03:05:50,034 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 03:05:50,035 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 03:05:50,035 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 03:05:50,036 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 03:05:50,036 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 03:05:50,037 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 03:05:50,037 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 03:05:50,038 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,039 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,039 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,040 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,041 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,042 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,042 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,043 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,044 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,045 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,045 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,046 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,047 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,047 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,048 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,049 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,049 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,050 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,051 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,051 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,052 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,053 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,054 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 03:05:50,054 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 03:05:50,055 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 03:05:50,055 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 03:05:50,056 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 03:05:50,056 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 03:05:50,057 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,057 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,058 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,059 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,060 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,061 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,062 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,062 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,063 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,064 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,065 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,065 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,066 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,067 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,067 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,068 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,069 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,070 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,070 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,071 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,072 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,073 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,073 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,074 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,074 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,075 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,076 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,077 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,078 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,078 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,079 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,080 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,080 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,081 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,082 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,083 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,084 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,085 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,085 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,086 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,087 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 03:05:50,087 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 03:05:50,090 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,090 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,091 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,092 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,092 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,093 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,094 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,095 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,095 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,096 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,097 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,098 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,098 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,099 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,100 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,101 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,101 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,102 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,103 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,104 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,105 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,105 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,106 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,107 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,108 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,108 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,109 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,110 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,111 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,112 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,112 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,113 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,114 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,115 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,115 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,116 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,117 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,118 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,118 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,119 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,120 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,121 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,121 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,122 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,123 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,123 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,124 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,125 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,126 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,126 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,127 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,128 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,129 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,130 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,130 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,131 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,132 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,133 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,133 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,134 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,135 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,135 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,136 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,137 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,138 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,139 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,139 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,140 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,141 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,142 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,142 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include 2024-01-19 03:05:50,143 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 03:05:50,143 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 03:05:50,144 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,144 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,145 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,146 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,147 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,147 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,148 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,149 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,149 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,150 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,151 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,208 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:05:50,208 root INFO running install 2024-01-19 03:05:50,271 root INFO running install_lib 2024-01-19 03:05:50,280 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:05:50,281 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:05:50,281 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p 2024-01-19 03:05:50,282 root INFO copying build/lib/pythondata_cpu_cv32e40p/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p 2024-01-19 03:05:50,282 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,283 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,284 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,284 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,285 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,286 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,286 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,287 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,288 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb 2024-01-19 03:05:50,288 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,289 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,289 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,290 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,291 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,291 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,292 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,293 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 03:05:50,294 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:50,294 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 03:05:50,294 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 03:05:50,295 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:50,296 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:50,297 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:50,297 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:50,298 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:50,299 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 03:05:50,299 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 03:05:50,300 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 03:05:50,301 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,301 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,302 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,303 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,303 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:50,304 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:50,304 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:50,305 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:50,306 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:50,306 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:50,307 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 03:05:50,308 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,308 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,309 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,310 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,310 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,311 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,312 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,312 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,313 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:50,314 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:50,314 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:50,315 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:50,316 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 03:05:50,316 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,317 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,318 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,318 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,319 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,320 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,320 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,321 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,322 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 03:05:50,322 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 03:05:50,323 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 03:05:50,324 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:50,324 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:50,325 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:50,325 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:50,326 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:50,327 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 03:05:50,327 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:50,328 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:50,329 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:50,329 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:50,330 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:50,331 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 03:05:50,331 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 03:05:50,332 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 03:05:50,332 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,333 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,334 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,334 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,335 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:50,336 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:50,336 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:50,337 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:50,338 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:50,338 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 03:05:50,339 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,340 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,340 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 03:05:50,341 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 03:05:50,342 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 03:05:50,342 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,343 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,344 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,344 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,345 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,345 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,346 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,347 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,348 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,348 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,349 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,350 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,350 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,351 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,352 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,352 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,353 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,354 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,355 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,355 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,356 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,357 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,357 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,358 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,359 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,360 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,360 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,361 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,362 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,362 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,363 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,364 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,364 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,365 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,366 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,366 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,367 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,368 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,369 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,369 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,370 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,371 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,371 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:50,372 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:50,373 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:50,373 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:50,374 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:50,375 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 03:05:50,375 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,376 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,377 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,377 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,378 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,379 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,379 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,380 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,381 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,381 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,382 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,383 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,384 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,384 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,385 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,386 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,386 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,387 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,388 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,389 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,389 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 03:05:50,390 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:50,390 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:50,391 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:50,392 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:50,392 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:50,393 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 03:05:50,394 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:50,394 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,395 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,396 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,396 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,397 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,398 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,398 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,399 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,400 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,400 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,401 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,401 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,402 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,403 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,403 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 03:05:50,404 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:50,405 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros 2024-01-19 03:05:50,405 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 03:05:50,406 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 03:05:50,407 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,407 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,408 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,409 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,409 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,410 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,411 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,411 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,412 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,413 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,413 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,414 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,415 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,415 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,416 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,417 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,417 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,418 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,419 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,419 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,420 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,421 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,421 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,422 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,423 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,423 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,424 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,425 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,425 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,426 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,427 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,427 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,428 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,430 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,431 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,431 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,432 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,433 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,433 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 03:05:50,434 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,434 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,435 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,436 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,436 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,437 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,439 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,440 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,440 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,441 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,442 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 03:05:50,442 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:50,443 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,445 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,446 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,446 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,447 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,448 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,448 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,449 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 03:05:50,451 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,451 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,452 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,453 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,453 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,454 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,455 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,455 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 03:05:50,456 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,458 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,459 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,459 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,460 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,461 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,461 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,462 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,462 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,463 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,464 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 03:05:50,465 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,465 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,467 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,468 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,468 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,469 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,470 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,470 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 03:05:50,471 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 03:05:50,472 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 03:05:50,472 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 03:05:50,473 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,473 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,474 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,476 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,477 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,477 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,478 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,480 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,481 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 03:05:50,481 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,482 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,483 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,483 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,484 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,486 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,487 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,487 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,488 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 03:05:50,489 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,489 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,490 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,490 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,491 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,492 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,492 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,493 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,494 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,494 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,495 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,496 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,496 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,497 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 03:05:50,498 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 03:05:50,498 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 03:05:50,499 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 03:05:50,500 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,500 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,501 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,501 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,502 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,503 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,503 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,504 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,505 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,505 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,506 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 03:05:50,507 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,507 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,508 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,509 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,509 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,510 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,511 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,511 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 03:05:50,512 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 03:05:50,513 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,513 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,514 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,514 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,515 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,516 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,516 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,517 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,518 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,518 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,519 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,520 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,520 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,521 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,522 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,522 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,523 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,524 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,524 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,525 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,526 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 03:05:50,527 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,527 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,528 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,529 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,529 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,530 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,530 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,531 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,533 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,534 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,535 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,535 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,538 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,539 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,539 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,540 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,542 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,543 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,543 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,545 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,545 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,546 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,548 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,549 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,549 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,550 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,551 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,551 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,555 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,555 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,556 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,559 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,561 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 03:05:50,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,563 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:50,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:50,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:50,565 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:50,566 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 03:05:50,567 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,567 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,568 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,568 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,569 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,570 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,570 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,571 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,572 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,572 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,573 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,574 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 03:05:50,574 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 03:05:50,575 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,576 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,576 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,577 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,579 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,580 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,580 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,581 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,582 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,582 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,583 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,585 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 03:05:50,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,587 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,588 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,589 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,589 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,590 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,590 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,591 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,593 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,595 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 03:05:50,596 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,596 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,597 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,598 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,598 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,599 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,601 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,602 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,602 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,603 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,604 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,604 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,605 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 03:05:50,606 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,606 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,607 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,608 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,608 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,609 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,609 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,610 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,611 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 03:05:50,612 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 03:05:50,612 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 03:05:50,613 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,613 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,614 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,615 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,615 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,616 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,616 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,617 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 03:05:50,618 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,618 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,619 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,620 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,620 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,621 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,622 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,622 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,623 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,624 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,624 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 03:05:50,625 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:50,626 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:50,626 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:50,627 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:50,628 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 03:05:50,628 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 03:05:50,629 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:50,630 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:50,630 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:50,631 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:50,632 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:50,632 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 03:05:50,633 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,634 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,634 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,635 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:50,636 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,636 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,637 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,638 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 03:05:50,638 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 03:05:50,639 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,639 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,640 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,641 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,641 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,642 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,643 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,643 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,644 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 03:05:50,645 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 03:05:50,645 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,646 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,646 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,647 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,648 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 03:05:50,648 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,649 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,650 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,650 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 03:05:50,651 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,651 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,652 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,653 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 03:05:50,653 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 03:05:50,654 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:50,655 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:50,655 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:50,656 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,657 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,657 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,658 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,659 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 03:05:50,659 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 03:05:50,660 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,661 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,661 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,662 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,663 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,663 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,664 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,665 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 03:05:50,665 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 03:05:50,666 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 03:05:50,666 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 03:05:50,667 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 03:05:50,668 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:50,668 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 03:05:50,669 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 03:05:50,670 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,670 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,671 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,671 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,672 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,673 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,673 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,674 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,675 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,676 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,676 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,677 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,678 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,678 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,679 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 03:05:50,680 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 03:05:50,680 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 03:05:50,681 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 03:05:50,682 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 03:05:50,682 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 03:05:50,683 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 03:05:50,683 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 03:05:50,684 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:50,684 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 03:05:50,685 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 03:05:50,686 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 03:05:50,686 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,687 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,688 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,688 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,689 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,690 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,690 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,691 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,692 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,693 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,693 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,694 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,695 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,696 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,696 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,697 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,698 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,699 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,699 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,700 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,700 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,701 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,702 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,702 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,703 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,704 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,704 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,705 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,706 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,706 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,707 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,708 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,708 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,709 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,710 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 03:05:50,711 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,711 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 03:05:50,712 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 03:05:50,713 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 03:05:50,715 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,716 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,717 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,717 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,718 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,719 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,719 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,720 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,720 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,721 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,722 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,722 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,723 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,724 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,724 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,725 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,726 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,726 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,727 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,728 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,728 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,729 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,730 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,730 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,731 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,732 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,732 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,733 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,734 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,734 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,735 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,736 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,736 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,737 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,738 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,738 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,739 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,740 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,740 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,741 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 03:05:50,742 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,742 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,743 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,744 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,744 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,745 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,746 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,746 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,747 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,748 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,748 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,749 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,750 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,750 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,751 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,752 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,752 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,753 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,754 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,754 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 03:05:50,755 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include 2024-01-19 03:05:50,756 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 03:05:50,756 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 03:05:50,757 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,758 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,758 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,759 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,760 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,760 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,761 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,762 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,762 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,763 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,764 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,764 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,765 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,765 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,766 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,767 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,768 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,768 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,769 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,769 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,770 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 03:05:50,771 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,771 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,772 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,773 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 03:05:50,773 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,774 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 03:05:50,775 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,775 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,776 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,777 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 03:05:50,778 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,778 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,779 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,780 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,780 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,781 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,781 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 03:05:50,782 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,783 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,783 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,784 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,785 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,785 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,786 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,787 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 03:05:50,787 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,788 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,789 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 03:05:50,789 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,790 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,791 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 03:05:50,792 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,792 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 03:05:50,793 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/pd/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 03:05:50,793 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,794 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,795 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,795 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,796 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,796 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,797 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,798 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,798 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,799 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,800 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 03:05:50,800 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,801 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,802 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,802 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,803 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 03:05:50,804 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:50,804 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:50,805 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:50,806 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 03:05:50,809 root INFO running install_egg_info 2024-01-19 03:05:50,826 root INFO Copying pythondata_cpu_cv32e40p.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p-0.0.post152-py3.11.egg-info 2024-01-19 03:05:50,830 root INFO running install_scripts 2024-01-19 03:05:50,839 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p-0.0.post152.dist-info/WHEEL 2024-01-19 03:05:50,842 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-c9gq2yy4/pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:05:50,844 wheel INFO adding 'pythondata_cpu_cv32e40p/__init__.py' 2024-01-19 03:05:50,848 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el' 2024-01-19 03:05:50,849 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitignore' 2024-01-19 03:05:50,850 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml' 2024-01-19 03:05:50,851 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitmodules' 2024-01-19 03:05:50,852 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.travis.yml' 2024-01-19 03:05:50,853 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/Bender.yml' 2024-01-19 03:05:50,854 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md' 2024-01-19 03:05:50,855 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/LICENSE' 2024-01-19 03:05:50,856 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/Makefile' 2024-01-19 03:05:50,857 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/README.md' 2024-01-19 03:05:50,858 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist' 2024-01-19 03:05:50,859 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist' 2024-01-19 03:05:50,860 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist' 2024-01-19 03:05:50,860 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist' 2024-01-19 03:05:50,861 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/src_files.yml' 2024-01-19 03:05:50,862 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile' 2024-01-19 03:05:50,863 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh' 2024-01-19 03:05:50,864 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh' 2024-01-19 03:05:50,865 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh' 2024-01-19 03:05:50,866 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh' 2024-01-19 03:05:50,867 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh' 2024-01-19 03:05:50,868 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py' 2024-01-19 03:05:50,868 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh' 2024-01-19 03:05:50,869 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py' 2024-01-19 03:05:50,870 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh' 2024-01-19 03:05:50,871 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx' 2024-01-19 03:05:50,873 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx' 2024-01-19 03:05:50,878 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc' 2024-01-19 03:05:50,905 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/pd/README.md' 2024-01-19 03:05:50,907 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv' 2024-01-19 03:05:50,907 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv' 2024-01-19 03:05:50,909 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv' 2024-01-19 03:05:50,910 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv' 2024-01-19 03:05:50,911 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv' 2024-01-19 03:05:50,912 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv' 2024-01-19 03:05:50,913 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv' 2024-01-19 03:05:50,915 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv' 2024-01-19 03:05:50,916 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv' 2024-01-19 03:05:50,918 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv' 2024-01-19 03:05:50,920 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv' 2024-01-19 03:05:50,923 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv' 2024-01-19 03:05:50,924 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv' 2024-01-19 03:05:50,925 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv' 2024-01-19 03:05:50,926 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv' 2024-01-19 03:05:50,927 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv' 2024-01-19 03:05:50,929 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv' 2024-01-19 03:05:50,931 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv' 2024-01-19 03:05:50,931 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv' 2024-01-19 03:05:50,933 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv' 2024-01-19 03:05:50,934 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv' 2024-01-19 03:05:50,935 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv' 2024-01-19 03:05:50,937 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv' 2024-01-19 03:05:50,938 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv' 2024-01-19 03:05:50,939 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv' 2024-01-19 03:05:50,940 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv' 2024-01-19 03:05:50,942 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore' 2024-01-19 03:05:50,943 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules' 2024-01-19 03:05:50,943 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml' 2024-01-19 03:05:50,944 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE' 2024-01-19 03:05:50,945 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md' 2024-01-19 03:05:50,946 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml' 2024-01-19 03:05:50,947 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml' 2024-01-19 03:05:50,948 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md' 2024-01-19 03:05:50,949 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS' 2024-01-19 03:05:50,950 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md' 2024-01-19 03:05:50,951 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md' 2024-01-19 03:05:50,953 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png' 2024-01-19 03:05:50,954 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png' 2024-01-19 03:05:50,955 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png' 2024-01-19 03:05:50,956 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png' 2024-01-19 03:05:50,958 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png' 2024-01-19 03:05:50,959 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv' 2024-01-19 03:05:50,961 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv' 2024-01-19 03:05:50,962 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv' 2024-01-19 03:05:50,963 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv' 2024-01-19 03:05:50,964 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv' 2024-01-19 03:05:50,966 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv' 2024-01-19 03:05:50,967 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv' 2024-01-19 03:05:50,968 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv' 2024-01-19 03:05:50,970 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv' 2024-01-19 03:05:50,971 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv' 2024-01-19 03:05:50,972 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv' 2024-01-19 03:05:50,973 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv' 2024-01-19 03:05:50,974 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore' 2024-01-19 03:05:50,975 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml' 2024-01-19 03:05:50,976 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml' 2024-01-19 03:05:50,977 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md' 2024-01-19 03:05:50,978 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE' 2024-01-19 03:05:50,979 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md' 2024-01-19 03:05:50,980 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml' 2024-01-19 03:05:50,981 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh' 2024-01-19 03:05:50,983 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv' 2024-01-19 03:05:50,984 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv' 2024-01-19 03:05:50,985 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv' 2024-01-19 03:05:50,986 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv' 2024-01-19 03:05:50,986 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv' 2024-01-19 03:05:50,987 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv' 2024-01-19 03:05:50,988 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv' 2024-01-19 03:05:50,989 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv' 2024-01-19 03:05:50,990 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv' 2024-01-19 03:05:50,991 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv' 2024-01-19 03:05:50,992 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv' 2024-01-19 03:05:50,993 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv' 2024-01-19 03:05:50,994 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv' 2024-01-19 03:05:50,995 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv' 2024-01-19 03:05:50,996 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv' 2024-01-19 03:05:50,997 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv' 2024-01-19 03:05:50,998 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv' 2024-01-19 03:05:50,999 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv' 2024-01-19 03:05:51,000 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv' 2024-01-19 03:05:51,000 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv' 2024-01-19 03:05:51,001 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv' 2024-01-19 03:05:51,002 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv' 2024-01-19 03:05:51,003 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv' 2024-01-19 03:05:51,004 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv' 2024-01-19 03:05:51,005 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv' 2024-01-19 03:05:51,006 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv' 2024-01-19 03:05:51,007 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv' 2024-01-19 03:05:51,008 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv' 2024-01-19 03:05:51,009 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv' 2024-01-19 03:05:51,010 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv' 2024-01-19 03:05:51,011 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv' 2024-01-19 03:05:51,012 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv' 2024-01-19 03:05:51,013 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv' 2024-01-19 03:05:51,013 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv' 2024-01-19 03:05:51,014 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv' 2024-01-19 03:05:51,015 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv' 2024-01-19 03:05:51,016 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv' 2024-01-19 03:05:51,017 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv' 2024-01-19 03:05:51,018 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv' 2024-01-19 03:05:51,019 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv' 2024-01-19 03:05:51,020 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv' 2024-01-19 03:05:51,021 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv' 2024-01-19 03:05:51,022 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv' 2024-01-19 03:05:51,023 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv' 2024-01-19 03:05:51,024 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv' 2024-01-19 03:05:51,025 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv' 2024-01-19 03:05:51,026 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv' 2024-01-19 03:05:51,027 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv' 2024-01-19 03:05:51,028 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv' 2024-01-19 03:05:51,029 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv' 2024-01-19 03:05:51,030 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv' 2024-01-19 03:05:51,031 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv' 2024-01-19 03:05:51,031 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv' 2024-01-19 03:05:51,033 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv' 2024-01-19 03:05:51,033 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl' 2024-01-19 03:05:51,034 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv' 2024-01-19 03:05:51,035 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv' 2024-01-19 03:05:51,036 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv' 2024-01-19 03:05:51,037 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv' 2024-01-19 03:05:51,038 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv' 2024-01-19 03:05:51,039 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv' 2024-01-19 03:05:51,040 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv' 2024-01-19 03:05:51,041 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh' 2024-01-19 03:05:51,042 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv' 2024-01-19 03:05:51,043 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv' 2024-01-19 03:05:51,044 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh' 2024-01-19 03:05:51,045 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv' 2024-01-19 03:05:51,046 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl' 2024-01-19 03:05:51,047 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl' 2024-01-19 03:05:51,048 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl' 2024-01-19 03:05:51,049 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do' 2024-01-19 03:05:51,050 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml' 2024-01-19 03:05:51,051 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE' 2024-01-19 03:05:51,052 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml' 2024-01-19 03:05:51,055 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf' 2024-01-19 03:05:51,069 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore' 2024-01-19 03:05:51,070 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv' 2024-01-19 03:05:51,073 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv' 2024-01-19 03:05:51,074 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv' 2024-01-19 03:05:51,076 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv' 2024-01-19 03:05:51,077 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv' 2024-01-19 03:05:51,078 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv' 2024-01-19 03:05:51,079 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv' 2024-01-19 03:05:51,080 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv' 2024-01-19 03:05:51,081 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv' 2024-01-19 03:05:51,082 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv' 2024-01-19 03:05:51,083 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv' 2024-01-19 03:05:51,084 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv' 2024-01-19 03:05:51,085 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv' 2024-01-19 03:05:51,087 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml' 2024-01-19 03:05:51,087 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml' 2024-01-19 03:05:51,088 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md' 2024-01-19 03:05:51,089 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE' 2024-01-19 03:05:51,090 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive' 2024-01-19 03:05:51,091 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md' 2024-01-19 03:05:51,092 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml' 2024-01-19 03:05:51,093 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh' 2024-01-19 03:05:51,094 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh' 2024-01-19 03:05:51,095 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh' 2024-01-19 03:05:51,096 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh' 2024-01-19 03:05:51,097 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py' 2024-01-19 03:05:51,098 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh' 2024-01-19 03:05:51,098 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh' 2024-01-19 03:05:51,099 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore' 2024-01-19 03:05:51,100 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile' 2024-01-19 03:05:51,101 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S' 2024-01-19 03:05:51,102 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h' 2024-01-19 03:05:51,103 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv' 2024-01-19 03:05:51,104 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h' 2024-01-19 03:05:51,106 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py' 2024-01-19 03:05:51,107 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld' 2024-01-19 03:05:51,108 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md' 2024-01-19 03:05:51,109 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg' 2024-01-19 03:05:51,110 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json' 2024-01-19 03:05:51,111 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg' 2024-01-19 03:05:51,113 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv' 2024-01-19 03:05:51,115 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv' 2024-01-19 03:05:51,116 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv' 2024-01-19 03:05:51,117 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv' 2024-01-19 03:05:51,118 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv' 2024-01-19 03:05:51,119 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv' 2024-01-19 03:05:51,120 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv' 2024-01-19 03:05:51,121 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv' 2024-01-19 03:05:51,122 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv' 2024-01-19 03:05:51,123 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format' 2024-01-19 03:05:51,124 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore' 2024-01-19 03:05:51,125 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley' 2024-01-19 03:05:51,126 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive' 2024-01-19 03:05:51,127 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile' 2024-01-19 03:05:51,128 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md' 2024-01-19 03:05:51,129 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv' 2024-01-19 03:05:51,130 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv' 2024-01-19 03:05:51,131 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg' 2024-01-19 03:05:51,131 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg' 2024-01-19 03:05:51,132 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv' 2024-01-19 03:05:51,133 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv' 2024-01-19 03:05:51,134 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv' 2024-01-19 03:05:51,135 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv' 2024-01-19 03:05:51,137 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv' 2024-01-19 03:05:51,138 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp' 2024-01-19 03:05:51,138 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv' 2024-01-19 03:05:51,140 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl' 2024-01-19 03:05:51,140 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl' 2024-01-19 03:05:51,141 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl' 2024-01-19 03:05:51,142 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S' 2024-01-19 03:05:51,143 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld' 2024-01-19 03:05:51,144 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c' 2024-01-19 03:05:51,145 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c' 2024-01-19 03:05:51,146 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S' 2024-01-19 03:05:51,147 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore' 2024-01-19 03:05:51,148 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile' 2024-01-19 03:05:51,149 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c' 2024-01-19 03:05:51,150 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c' 2024-01-19 03:05:51,151 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h' 2024-01-19 03:05:51,152 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c' 2024-01-19 03:05:51,153 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv' 2024-01-19 03:05:51,154 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore' 2024-01-19 03:05:51,155 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules' 2024-01-19 03:05:51,156 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE' 2024-01-19 03:05:51,157 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile' 2024-01-19 03:05:51,158 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org' 2024-01-19 03:05:51,159 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml' 2024-01-19 03:05:51,160 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile' 2024-01-19 03:05:51,161 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh' 2024-01-19 03:05:51,162 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep' 2024-01-19 03:05:51,163 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile' 2024-01-19 03:05:51,164 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org' 2024-01-19 03:05:51,165 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format' 2024-01-19 03:05:51,166 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile' 2024-01-19 03:05:51,167 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json' 2024-01-19 03:05:51,168 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c' 2024-01-19 03:05:51,169 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format' 2024-01-19 03:05:51,170 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile' 2024-01-19 03:05:51,171 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c' 2024-01-19 03:05:51,172 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format' 2024-01-19 03:05:51,172 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile' 2024-01-19 03:05:51,173 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json' 2024-01-19 03:05:51,174 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c' 2024-01-19 03:05:51,175 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h' 2024-01-19 03:05:51,176 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c' 2024-01-19 03:05:51,177 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c' 2024-01-19 03:05:51,178 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h' 2024-01-19 03:05:51,179 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format' 2024-01-19 03:05:51,180 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile' 2024-01-19 03:05:51,181 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c' 2024-01-19 03:05:51,182 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep' 2024-01-19 03:05:51,183 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv' 2024-01-19 03:05:51,184 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv' 2024-01-19 03:05:51,185 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv' 2024-01-19 03:05:51,186 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv' 2024-01-19 03:05:51,187 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv' 2024-01-19 03:05:51,188 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv' 2024-01-19 03:05:51,189 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv' 2024-01-19 03:05:51,190 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv' 2024-01-19 03:05:51,191 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv' 2024-01-19 03:05:51,192 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv' 2024-01-19 03:05:51,193 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv' 2024-01-19 03:05:51,194 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv' 2024-01-19 03:05:51,195 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv' 2024-01-19 03:05:51,196 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv' 2024-01-19 03:05:51,197 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv' 2024-01-19 03:05:51,198 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv' 2024-01-19 03:05:51,199 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep' 2024-01-19 03:05:51,200 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile' 2024-01-19 03:05:51,201 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh' 2024-01-19 03:05:51,202 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh' 2024-01-19 03:05:51,203 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh' 2024-01-19 03:05:51,204 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh' 2024-01-19 03:05:51,205 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh' 2024-01-19 03:05:51,206 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv' 2024-01-19 03:05:51,207 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv' 2024-01-19 03:05:51,208 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv' 2024-01-19 03:05:51,208 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv' 2024-01-19 03:05:51,209 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv' 2024-01-19 03:05:51,211 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl' 2024-01-19 03:05:51,212 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test' 2024-01-19 03:05:51,213 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep' 2024-01-19 03:05:51,214 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep' 2024-01-19 03:05:51,214 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl' 2024-01-19 03:05:51,216 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format' 2024-01-19 03:05:51,217 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore' 2024-01-19 03:05:51,217 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile' 2024-01-19 03:05:51,219 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md' 2024-01-19 03:05:51,220 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv' 2024-01-19 03:05:51,221 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv' 2024-01-19 03:05:51,222 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv' 2024-01-19 03:05:51,223 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv' 2024-01-19 03:05:51,224 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl' 2024-01-19 03:05:51,225 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv' 2024-01-19 03:05:51,226 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp' 2024-01-19 03:05:51,227 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv' 2024-01-19 03:05:51,228 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl' 2024-01-19 03:05:51,228 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl' 2024-01-19 03:05:51,229 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes' 2024-01-19 03:05:51,230 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld' 2024-01-19 03:05:51,231 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff' 2024-01-19 03:05:51,232 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S' 2024-01-19 03:05:51,233 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c' 2024-01-19 03:05:51,234 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S' 2024-01-19 03:05:51,235 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c' 2024-01-19 03:05:51,236 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld' 2024-01-19 03:05:51,237 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c' 2024-01-19 03:05:51,238 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S' 2024-01-19 03:05:51,239 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c' 2024-01-19 03:05:51,240 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c' 2024-01-19 03:05:51,241 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README' 2024-01-19 03:05:51,242 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h' 2024-01-19 03:05:51,243 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld' 2024-01-19 03:05:51,244 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py' 2024-01-19 03:05:51,244 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c' 2024-01-19 03:05:51,245 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c' 2024-01-19 03:05:51,246 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld' 2024-01-19 03:05:51,247 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig' 2024-01-19 03:05:51,248 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c' 2024-01-19 03:05:51,249 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S' 2024-01-19 03:05:51,250 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c' 2024-01-19 03:05:51,251 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c' 2024-01-19 03:05:51,253 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h' 2024-01-19 03:05:51,254 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h' 2024-01-19 03:05:51,255 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S' 2024-01-19 03:05:51,256 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S' 2024-01-19 03:05:51,257 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S' 2024-01-19 03:05:51,258 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S' 2024-01-19 03:05:51,259 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S' 2024-01-19 03:05:51,261 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S' 2024-01-19 03:05:51,262 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S' 2024-01-19 03:05:51,263 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S' 2024-01-19 03:05:51,264 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S' 2024-01-19 03:05:51,265 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S' 2024-01-19 03:05:51,266 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S' 2024-01-19 03:05:51,267 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S' 2024-01-19 03:05:51,268 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S' 2024-01-19 03:05:51,269 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S' 2024-01-19 03:05:51,270 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S' 2024-01-19 03:05:51,271 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S' 2024-01-19 03:05:51,272 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S' 2024-01-19 03:05:51,273 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S' 2024-01-19 03:05:51,274 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S' 2024-01-19 03:05:51,275 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S' 2024-01-19 03:05:51,276 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S' 2024-01-19 03:05:51,277 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S' 2024-01-19 03:05:51,278 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S' 2024-01-19 03:05:51,279 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S' 2024-01-19 03:05:51,280 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S' 2024-01-19 03:05:51,281 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S' 2024-01-19 03:05:51,282 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S' 2024-01-19 03:05:51,283 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S' 2024-01-19 03:05:51,284 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S' 2024-01-19 03:05:51,286 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S' 2024-01-19 03:05:51,287 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S' 2024-01-19 03:05:51,288 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S' 2024-01-19 03:05:51,289 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S' 2024-01-19 03:05:51,290 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S' 2024-01-19 03:05:51,291 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S' 2024-01-19 03:05:51,292 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S' 2024-01-19 03:05:51,293 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S' 2024-01-19 03:05:51,294 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S' 2024-01-19 03:05:51,295 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S' 2024-01-19 03:05:51,296 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S' 2024-01-19 03:05:51,297 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S' 2024-01-19 03:05:51,298 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S' 2024-01-19 03:05:51,300 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S' 2024-01-19 03:05:51,301 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S' 2024-01-19 03:05:51,302 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S' 2024-01-19 03:05:51,303 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S' 2024-01-19 03:05:51,304 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S' 2024-01-19 03:05:51,305 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S' 2024-01-19 03:05:51,306 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S' 2024-01-19 03:05:51,307 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S' 2024-01-19 03:05:51,308 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S' 2024-01-19 03:05:51,310 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S' 2024-01-19 03:05:51,311 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h' 2024-01-19 03:05:51,312 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h' 2024-01-19 03:05:51,313 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h' 2024-01-19 03:05:51,314 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h' 2024-01-19 03:05:51,315 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h' 2024-01-19 03:05:51,316 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S' 2024-01-19 03:05:51,317 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S' 2024-01-19 03:05:51,318 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S' 2024-01-19 03:05:51,319 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S' 2024-01-19 03:05:51,320 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S' 2024-01-19 03:05:51,322 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE' 2024-01-19 03:05:51,322 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md' 2024-01-19 03:05:51,323 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h' 2024-01-19 03:05:51,324 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h' 2024-01-19 03:05:51,326 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag' 2024-01-19 03:05:51,327 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S' 2024-01-19 03:05:51,328 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S' 2024-01-19 03:05:51,328 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S' 2024-01-19 03:05:51,329 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S' 2024-01-19 03:05:51,330 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S' 2024-01-19 03:05:51,331 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S' 2024-01-19 03:05:51,332 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S' 2024-01-19 03:05:51,333 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S' 2024-01-19 03:05:51,334 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S' 2024-01-19 03:05:51,335 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag' 2024-01-19 03:05:51,335 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S' 2024-01-19 03:05:51,336 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S' 2024-01-19 03:05:51,337 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S' 2024-01-19 03:05:51,338 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S' 2024-01-19 03:05:51,339 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S' 2024-01-19 03:05:51,340 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S' 2024-01-19 03:05:51,341 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag' 2024-01-19 03:05:51,342 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S' 2024-01-19 03:05:51,342 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S' 2024-01-19 03:05:51,343 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S' 2024-01-19 03:05:51,344 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S' 2024-01-19 03:05:51,345 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S' 2024-01-19 03:05:51,346 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S' 2024-01-19 03:05:51,347 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S' 2024-01-19 03:05:51,348 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S' 2024-01-19 03:05:51,348 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S' 2024-01-19 03:05:51,349 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S' 2024-01-19 03:05:51,350 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag' 2024-01-19 03:05:51,351 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S' 2024-01-19 03:05:51,352 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag' 2024-01-19 03:05:51,353 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S' 2024-01-19 03:05:51,354 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S' 2024-01-19 03:05:51,355 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S' 2024-01-19 03:05:51,356 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S' 2024-01-19 03:05:51,356 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S' 2024-01-19 03:05:51,357 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S' 2024-01-19 03:05:51,358 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S' 2024-01-19 03:05:51,359 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S' 2024-01-19 03:05:51,360 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S' 2024-01-19 03:05:51,361 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S' 2024-01-19 03:05:51,362 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S' 2024-01-19 03:05:51,363 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag' 2024-01-19 03:05:51,364 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S' 2024-01-19 03:05:51,364 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S' 2024-01-19 03:05:51,365 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S' 2024-01-19 03:05:51,366 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S' 2024-01-19 03:05:51,367 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S' 2024-01-19 03:05:51,368 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S' 2024-01-19 03:05:51,369 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S' 2024-01-19 03:05:51,370 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S' 2024-01-19 03:05:51,371 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S' 2024-01-19 03:05:51,371 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S' 2024-01-19 03:05:51,372 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S' 2024-01-19 03:05:51,373 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag' 2024-01-19 03:05:51,374 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S' 2024-01-19 03:05:51,375 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S' 2024-01-19 03:05:51,376 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S' 2024-01-19 03:05:51,377 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S' 2024-01-19 03:05:51,378 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S' 2024-01-19 03:05:51,379 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S' 2024-01-19 03:05:51,379 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S' 2024-01-19 03:05:51,380 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S' 2024-01-19 03:05:51,381 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S' 2024-01-19 03:05:51,382 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S' 2024-01-19 03:05:51,383 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S' 2024-01-19 03:05:51,384 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S' 2024-01-19 03:05:51,385 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S' 2024-01-19 03:05:51,385 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S' 2024-01-19 03:05:51,386 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S' 2024-01-19 03:05:51,387 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S' 2024-01-19 03:05:51,388 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S' 2024-01-19 03:05:51,389 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S' 2024-01-19 03:05:51,390 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S' 2024-01-19 03:05:51,390 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S' 2024-01-19 03:05:51,391 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S' 2024-01-19 03:05:51,392 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S' 2024-01-19 03:05:51,393 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S' 2024-01-19 03:05:51,394 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S' 2024-01-19 03:05:51,395 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S' 2024-01-19 03:05:51,396 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S' 2024-01-19 03:05:51,397 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S' 2024-01-19 03:05:51,397 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S' 2024-01-19 03:05:51,398 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S' 2024-01-19 03:05:51,399 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S' 2024-01-19 03:05:51,400 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S' 2024-01-19 03:05:51,401 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S' 2024-01-19 03:05:51,402 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S' 2024-01-19 03:05:51,403 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S' 2024-01-19 03:05:51,403 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S' 2024-01-19 03:05:51,404 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S' 2024-01-19 03:05:51,405 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S' 2024-01-19 03:05:51,406 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S' 2024-01-19 03:05:51,407 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S' 2024-01-19 03:05:51,408 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag' 2024-01-19 03:05:51,409 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S' 2024-01-19 03:05:51,410 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S' 2024-01-19 03:05:51,411 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S' 2024-01-19 03:05:51,411 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S' 2024-01-19 03:05:51,412 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S' 2024-01-19 03:05:51,413 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S' 2024-01-19 03:05:51,414 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S' 2024-01-19 03:05:51,415 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S' 2024-01-19 03:05:51,416 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag' 2024-01-19 03:05:51,417 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S' 2024-01-19 03:05:51,418 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S' 2024-01-19 03:05:51,419 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S' 2024-01-19 03:05:51,420 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S' 2024-01-19 03:05:51,421 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S' 2024-01-19 03:05:51,422 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S' 2024-01-19 03:05:51,423 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S' 2024-01-19 03:05:51,424 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S' 2024-01-19 03:05:51,424 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S' 2024-01-19 03:05:51,425 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag' 2024-01-19 03:05:51,426 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S' 2024-01-19 03:05:51,427 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S' 2024-01-19 03:05:51,428 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S' 2024-01-19 03:05:51,429 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S' 2024-01-19 03:05:51,430 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S' 2024-01-19 03:05:51,431 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S' 2024-01-19 03:05:51,432 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag' 2024-01-19 03:05:51,433 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S' 2024-01-19 03:05:51,434 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S' 2024-01-19 03:05:51,434 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S' 2024-01-19 03:05:51,435 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S' 2024-01-19 03:05:51,436 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S' 2024-01-19 03:05:51,437 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S' 2024-01-19 03:05:51,438 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S' 2024-01-19 03:05:51,439 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S' 2024-01-19 03:05:51,440 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S' 2024-01-19 03:05:51,440 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S' 2024-01-19 03:05:51,441 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S' 2024-01-19 03:05:51,442 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S' 2024-01-19 03:05:51,443 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S' 2024-01-19 03:05:51,444 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S' 2024-01-19 03:05:51,445 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S' 2024-01-19 03:05:51,446 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S' 2024-01-19 03:05:51,446 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S' 2024-01-19 03:05:51,447 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S' 2024-01-19 03:05:51,448 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S' 2024-01-19 03:05:51,449 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag' 2024-01-19 03:05:51,450 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S' 2024-01-19 03:05:51,451 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag' 2024-01-19 03:05:51,452 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S' 2024-01-19 03:05:51,453 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S' 2024-01-19 03:05:51,454 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S' 2024-01-19 03:05:51,455 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S' 2024-01-19 03:05:51,456 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S' 2024-01-19 03:05:51,457 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S' 2024-01-19 03:05:51,457 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S' 2024-01-19 03:05:51,458 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S' 2024-01-19 03:05:51,459 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S' 2024-01-19 03:05:51,460 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S' 2024-01-19 03:05:51,461 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S' 2024-01-19 03:05:51,462 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S' 2024-01-19 03:05:51,463 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag' 2024-01-19 03:05:51,464 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S' 2024-01-19 03:05:51,465 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S' 2024-01-19 03:05:51,466 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S' 2024-01-19 03:05:51,466 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S' 2024-01-19 03:05:51,467 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S' 2024-01-19 03:05:51,468 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S' 2024-01-19 03:05:51,469 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S' 2024-01-19 03:05:51,470 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S' 2024-01-19 03:05:51,471 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S' 2024-01-19 03:05:51,472 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S' 2024-01-19 03:05:51,473 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S' 2024-01-19 03:05:51,474 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag' 2024-01-19 03:05:51,475 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S' 2024-01-19 03:05:51,476 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S' 2024-01-19 03:05:51,477 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S' 2024-01-19 03:05:51,478 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S' 2024-01-19 03:05:51,478 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S' 2024-01-19 03:05:51,479 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S' 2024-01-19 03:05:51,480 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S' 2024-01-19 03:05:51,481 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S' 2024-01-19 03:05:51,482 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S' 2024-01-19 03:05:51,484 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S' 2024-01-19 03:05:51,485 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S' 2024-01-19 03:05:51,486 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S' 2024-01-19 03:05:51,486 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S' 2024-01-19 03:05:51,487 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S' 2024-01-19 03:05:51,488 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S' 2024-01-19 03:05:51,489 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S' 2024-01-19 03:05:51,490 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S' 2024-01-19 03:05:51,491 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S' 2024-01-19 03:05:51,492 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S' 2024-01-19 03:05:51,493 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S' 2024-01-19 03:05:51,494 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S' 2024-01-19 03:05:51,495 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S' 2024-01-19 03:05:51,495 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S' 2024-01-19 03:05:51,496 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S' 2024-01-19 03:05:51,497 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S' 2024-01-19 03:05:51,498 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S' 2024-01-19 03:05:51,499 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S' 2024-01-19 03:05:51,500 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S' 2024-01-19 03:05:51,501 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S' 2024-01-19 03:05:51,502 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S' 2024-01-19 03:05:51,503 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S' 2024-01-19 03:05:51,504 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S' 2024-01-19 03:05:51,505 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S' 2024-01-19 03:05:51,505 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S' 2024-01-19 03:05:51,506 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S' 2024-01-19 03:05:51,507 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S' 2024-01-19 03:05:51,508 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S' 2024-01-19 03:05:51,509 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S' 2024-01-19 03:05:51,510 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S' 2024-01-19 03:05:51,511 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S' 2024-01-19 03:05:51,512 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S' 2024-01-19 03:05:51,513 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S' 2024-01-19 03:05:51,514 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S' 2024-01-19 03:05:51,515 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S' 2024-01-19 03:05:51,516 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S' 2024-01-19 03:05:51,517 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S' 2024-01-19 03:05:51,518 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S' 2024-01-19 03:05:51,519 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S' 2024-01-19 03:05:51,520 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S' 2024-01-19 03:05:51,521 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S' 2024-01-19 03:05:51,521 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S' 2024-01-19 03:05:51,523 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag' 2024-01-19 03:05:51,523 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S' 2024-01-19 03:05:51,524 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S' 2024-01-19 03:05:51,525 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S' 2024-01-19 03:05:51,526 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S' 2024-01-19 03:05:51,527 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S' 2024-01-19 03:05:51,528 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S' 2024-01-19 03:05:51,529 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S' 2024-01-19 03:05:51,530 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S' 2024-01-19 03:05:51,531 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S' 2024-01-19 03:05:51,532 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S' 2024-01-19 03:05:51,533 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S' 2024-01-19 03:05:51,533 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S' 2024-01-19 03:05:51,534 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S' 2024-01-19 03:05:51,535 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format' 2024-01-19 03:05:51,536 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore' 2024-01-19 03:05:51,537 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley' 2024-01-19 03:05:51,538 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive' 2024-01-19 03:05:51,539 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile' 2024-01-19 03:05:51,540 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md' 2024-01-19 03:05:51,541 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv' 2024-01-19 03:05:51,542 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv' 2024-01-19 03:05:51,543 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv' 2024-01-19 03:05:51,544 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv' 2024-01-19 03:05:51,545 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg' 2024-01-19 03:05:51,546 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg' 2024-01-19 03:05:51,547 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv' 2024-01-19 03:05:51,548 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv' 2024-01-19 03:05:51,549 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv' 2024-01-19 03:05:51,550 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp' 2024-01-19 03:05:51,551 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv' 2024-01-19 03:05:51,552 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl' 2024-01-19 03:05:51,553 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl' 2024-01-19 03:05:51,554 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl' 2024-01-19 03:05:51,555 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld' 2024-01-19 03:05:51,556 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S' 2024-01-19 03:05:51,557 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c' 2024-01-19 03:05:51,558 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c' 2024-01-19 03:05:51,559 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore' 2024-01-19 03:05:51,560 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile' 2024-01-19 03:05:51,561 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c' 2024-01-19 03:05:51,562 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c' 2024-01-19 03:05:51,563 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h' 2024-01-19 03:05:51,563 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c' 2024-01-19 03:05:51,564 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv' 2024-01-19 03:05:51,565 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace' 2024-01-19 03:05:51,567 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv' 2024-01-19 03:05:51,568 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv' 2024-01-19 03:05:51,569 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv' 2024-01-19 03:05:51,570 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv' 2024-01-19 03:05:51,571 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv' 2024-01-19 03:05:51,572 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh' 2024-01-19 03:05:51,572 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh' 2024-01-19 03:05:51,573 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do' 2024-01-19 03:05:51,574 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do' 2024-01-19 03:05:51,575 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do' 2024-01-19 03:05:51,576 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv' 2024-01-19 03:05:51,577 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md' 2024-01-19 03:05:51,578 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv' 2024-01-19 03:05:51,579 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv' 2024-01-19 03:05:51,580 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv' 2024-01-19 03:05:51,582 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv' 2024-01-19 03:05:51,583 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv' 2024-01-19 03:05:51,584 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv' 2024-01-19 03:05:51,585 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore' 2024-01-19 03:05:51,586 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile' 2024-01-19 03:05:51,587 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md' 2024-01-19 03:05:51,588 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv' 2024-01-19 03:05:51,589 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv' 2024-01-19 03:05:51,590 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp' 2024-01-19 03:05:51,591 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv' 2024-01-19 03:05:51,593 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/LICENSE' 2024-01-19 03:05:51,594 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/METADATA' 2024-01-19 03:05:51,594 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/WHEEL' 2024-01-19 03:05:51,595 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/top_level.txt' 2024-01-19 03:05:51,619 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/RECORD' 2024-01-19 03:05:51,636 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:05:51,672 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cv32e41p 2024-01-19 03:05:52,753 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:05:52,945 root INFO running bdist_wheel 2024-01-19 03:05:53,020 root INFO running build 2024-01-19 03:05:53,021 root INFO running build_py 2024-01-19 03:05:53,032 root INFO creating build 2024-01-19 03:05:53,032 root INFO creating build/lib 2024-01-19 03:05:53,032 root INFO creating build/lib/pythondata_cpu_cv32e41p 2024-01-19 03:05:53,033 root INFO copying pythondata_cpu_cv32e41p/__init__.py -> build/lib/pythondata_cpu_cv32e41p 2024-01-19 03:05:53,037 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,038 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,038 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,039 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,046 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,047 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,047 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,049 root INFO running egg_info 2024-01-19 03:05:53,050 root INFO creating pythondata_cpu_cv32e41p.egg-info 2024-01-19 03:05:53,057 root INFO writing pythondata_cpu_cv32e41p.egg-info/PKG-INFO 2024-01-19 03:05:53,059 root INFO writing dependency_links to pythondata_cpu_cv32e41p.egg-info/dependency_links.txt 2024-01-19 03:05:53,060 root INFO writing top-level names to pythondata_cpu_cv32e41p.egg-info/top_level.txt 2024-01-19 03:05:53,061 root INFO writing manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-01-19 03:05:53,087 root INFO reading manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-01-19 03:05:53,088 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:05:53,102 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:05:53,102 root INFO adding license file 'LICENSE' 2024-01-19 03:05:53,117 root INFO writing manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-01-19 03:05:53,151 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,152 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,153 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,153 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.travis.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,154 root INFO copying pythondata_cpu_cv32e41p/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,155 root INFO copying pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,156 root INFO copying pythondata_cpu_cv32e41p/system_verilog/LICENSE -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,157 root INFO copying pythondata_cpu_cv32e41p/system_verilog/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,158 root INFO copying pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,158 root INFO copying pythondata_cpu_cv32e41p/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,159 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/.github 2024-01-19 03:05:53,160 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,160 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,161 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,162 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,162 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,163 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,164 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 03:05:53,164 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 03:05:53,165 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 03:05:53,166 root INFO copying pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 03:05:53,166 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,167 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,168 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,169 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,169 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,170 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,171 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,172 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,173 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,174 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,175 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,176 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,177 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,178 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,178 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,179 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,180 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,181 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,182 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,183 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,184 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,185 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,186 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,186 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,187 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,188 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 03:05:53,188 root INFO copying pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc -> build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 03:05:53,189 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,190 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,191 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,191 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,192 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,193 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,194 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,195 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,196 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,196 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,197 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,198 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,199 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,199 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,200 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,201 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,202 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/Makefile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,202 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/make.bat -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,203 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,204 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 03:05:53,204 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 03:05:53,205 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,205 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,206 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,207 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,208 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,208 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,209 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,210 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,211 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,211 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,212 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,213 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,214 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,215 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,215 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,216 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,217 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,218 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,218 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,219 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,220 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,220 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,221 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 03:05:53,221 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 03:05:53,222 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 03:05:53,223 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 03:05:53,223 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 03:05:53,224 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 03:05:53,224 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 03:05:53,225 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 03:05:53,226 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 03:05:53,226 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 03:05:53,227 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 03:05:53,228 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,228 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,229 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,230 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,230 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,231 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,232 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 03:05:53,232 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 03:05:53,233 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,234 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,234 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,235 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,236 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 03:05:53,236 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 03:05:53,237 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,237 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,238 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,239 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,240 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,241 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,242 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,243 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,244 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,245 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,245 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,246 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,247 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,248 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,249 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,250 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,251 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,252 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,252 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,253 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,254 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,255 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,256 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,257 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,258 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,259 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,259 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,260 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,261 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,262 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,263 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,263 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,264 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,265 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,266 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,267 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,267 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,268 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,269 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,270 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,271 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,271 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,272 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,273 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,274 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,274 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,275 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,276 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,277 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,278 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,279 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,279 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,280 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,281 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,282 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,283 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,284 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts 2024-01-19 03:05:53,284 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,285 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,285 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,286 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,318 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:05:53,318 root INFO running install 2024-01-19 03:05:53,381 root INFO running install_lib 2024-01-19 03:05:53,390 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:05:53,390 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:05:53,391 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p 2024-01-19 03:05:53,391 root INFO copying build/lib/pythondata_cpu_cv32e41p/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p 2024-01-19 03:05:53,392 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,392 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,393 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,394 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 03:05:53,394 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 03:05:53,395 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 03:05:53,395 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 03:05:53,396 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,397 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,397 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,398 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,399 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,399 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 03:05:53,400 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,401 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,401 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 03:05:53,403 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 03:05:53,403 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 03:05:53,404 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 03:05:53,404 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 03:05:53,405 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,406 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,406 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,407 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 03:05:53,408 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 03:05:53,408 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 03:05:53,409 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,410 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 03:05:53,410 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 03:05:53,411 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 03:05:53,411 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,412 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,413 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,413 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,414 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,415 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,415 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,416 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,417 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,417 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,418 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 03:05:53,419 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,419 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,420 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,421 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 03:05:53,421 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 03:05:53,422 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 03:05:53,423 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,423 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,424 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,425 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 03:05:53,425 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 03:05:53,426 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,427 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,428 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,429 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,429 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,430 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,431 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,431 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,432 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,433 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,433 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,434 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 03:05:53,435 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,435 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,436 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,437 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,438 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,438 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,439 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,440 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,441 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,441 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,442 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,443 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,443 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,444 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,445 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,446 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,446 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 03:05:53,447 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 03:05:53,448 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 03:05:53,448 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,449 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,449 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,450 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,451 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,451 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,452 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,453 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,453 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,454 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,455 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 03:05:53,455 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,456 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,457 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,457 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,458 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,459 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 03:05:53,459 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 03:05:53,460 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,460 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,461 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,462 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,463 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 03:05:53,463 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 03:05:53,464 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,464 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,465 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,466 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,466 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,467 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,468 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,469 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,470 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,470 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,471 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,472 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,473 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,473 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,474 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,475 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,476 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,477 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,477 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,478 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 03:05:53,479 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,480 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,480 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,481 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,482 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,483 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,483 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,484 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,485 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,486 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,486 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,487 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,488 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,489 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,490 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,490 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 03:05:53,491 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,492 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,492 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,493 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,494 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,494 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,495 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,496 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,497 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,497 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,498 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,499 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,499 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,500 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,501 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,501 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,502 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,503 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,504 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,504 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,505 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,506 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,507 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,507 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 03:05:53,508 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,509 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,509 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 03:05:53,510 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts 2024-01-19 03:05:53,511 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,511 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,512 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,512 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 03:05:53,513 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github 2024-01-19 03:05:53,514 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,514 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,515 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,515 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,516 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,517 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:53,517 root INFO running install_egg_info 2024-01-19 03:05:53,534 root INFO Copying pythondata_cpu_cv32e41p.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p-0.0.post1883-py3.11.egg-info 2024-01-19 03:05:53,537 root INFO running install_scripts 2024-01-19 03:05:53,546 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p-0.0.post1883.dist-info/WHEEL 2024-01-19 03:05:53,549 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-nsvc9rfy/pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:05:53,551 wheel INFO adding 'pythondata_cpu_cv32e41p/__init__.py' 2024-01-19 03:05:53,555 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el' 2024-01-19 03:05:53,556 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.gitignore' 2024-01-19 03:05:53,557 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml' 2024-01-19 03:05:53,558 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.travis.yml' 2024-01-19 03:05:53,559 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/Bender.yml' 2024-01-19 03:05:53,560 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md' 2024-01-19 03:05:53,561 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/LICENSE' 2024-01-19 03:05:53,562 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/README.md' 2024-01-19 03:05:53,563 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist' 2024-01-19 03:05:53,564 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/src_files.yml' 2024-01-19 03:05:53,565 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md' 2024-01-19 03:05:53,566 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml' 2024-01-19 03:05:53,567 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md' 2024-01-19 03:05:53,568 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md' 2024-01-19 03:05:53,569 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md' 2024-01-19 03:05:53,570 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv' 2024-01-19 03:05:53,571 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv' 2024-01-19 03:05:53,572 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh' 2024-01-19 03:05:53,573 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv' 2024-01-19 03:05:53,574 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv' 2024-01-19 03:05:53,576 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv' 2024-01-19 03:05:53,577 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv' 2024-01-19 03:05:53,578 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile' 2024-01-19 03:05:53,579 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh' 2024-01-19 03:05:53,580 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh' 2024-01-19 03:05:53,581 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh' 2024-01-19 03:05:53,582 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh' 2024-01-19 03:05:53,582 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh' 2024-01-19 03:05:53,583 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py' 2024-01-19 03:05:53,584 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh' 2024-01-19 03:05:53,585 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py' 2024-01-19 03:05:53,586 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh' 2024-01-19 03:05:53,587 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc' 2024-01-19 03:05:53,589 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore' 2024-01-19 03:05:53,589 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/Makefile' 2024-01-19 03:05:53,590 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/make.bat' 2024-01-19 03:05:53,591 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt' 2024-01-19 03:05:53,592 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png' 2024-01-19 03:05:53,594 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png' 2024-01-19 03:05:53,596 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png' 2024-01-19 03:05:53,601 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg' 2024-01-19 03:05:53,603 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png' 2024-01-19 03:05:53,604 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png' 2024-01-19 03:05:53,606 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png' 2024-01-19 03:05:53,608 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg' 2024-01-19 03:05:53,610 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg' 2024-01-19 03:05:53,612 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg' 2024-01-19 03:05:53,613 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg' 2024-01-19 03:05:53,615 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg' 2024-01-19 03:05:53,617 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg' 2024-01-19 03:05:53,619 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg' 2024-01-19 03:05:53,621 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg' 2024-01-19 03:05:53,622 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg' 2024-01-19 03:05:53,624 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg' 2024-01-19 03:05:53,626 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg' 2024-01-19 03:05:53,627 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg' 2024-01-19 03:05:53,628 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png' 2024-01-19 03:05:53,631 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png' 2024-01-19 03:05:53,635 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg' 2024-01-19 03:05:53,636 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg' 2024-01-19 03:05:53,639 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim' 2024-01-19 03:05:53,641 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim' 2024-01-19 03:05:53,643 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim' 2024-01-19 03:05:53,644 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim' 2024-01-19 03:05:53,646 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim' 2024-01-19 03:05:53,648 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim' 2024-01-19 03:05:53,650 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim' 2024-01-19 03:05:53,652 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim' 2024-01-19 03:05:53,654 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim' 2024-01-19 03:05:53,656 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim' 2024-01-19 03:05:53,657 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst' 2024-01-19 03:05:53,658 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py' 2024-01-19 03:05:53,660 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst' 2024-01-19 03:05:53,662 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst' 2024-01-19 03:05:53,663 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst' 2024-01-19 03:05:53,664 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst' 2024-01-19 03:05:53,665 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst' 2024-01-19 03:05:53,666 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst' 2024-01-19 03:05:53,667 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst' 2024-01-19 03:05:53,668 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst' 2024-01-19 03:05:53,669 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst' 2024-01-19 03:05:53,670 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst' 2024-01-19 03:05:53,671 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst' 2024-01-19 03:05:53,675 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst' 2024-01-19 03:05:53,676 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst' 2024-01-19 03:05:53,677 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue' 2024-01-19 03:05:53,678 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst' 2024-01-19 03:05:53,679 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst' 2024-01-19 03:05:53,680 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst' 2024-01-19 03:05:53,681 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst' 2024-01-19 03:05:53,682 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst' 2024-01-19 03:05:53,683 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst' 2024-01-19 03:05:53,684 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md' 2024-01-19 03:05:53,685 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format' 2024-01-19 03:05:53,686 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore' 2024-01-19 03:05:53,687 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile' 2024-01-19 03:05:53,688 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md' 2024-01-19 03:05:53,689 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv' 2024-01-19 03:05:53,690 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv' 2024-01-19 03:05:53,691 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv' 2024-01-19 03:05:53,692 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv' 2024-01-19 03:05:53,693 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv' 2024-01-19 03:05:53,694 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv' 2024-01-19 03:05:53,695 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv' 2024-01-19 03:05:53,696 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv' 2024-01-19 03:05:53,697 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl' 2024-01-19 03:05:53,698 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv' 2024-01-19 03:05:53,699 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl' 2024-01-19 03:05:53,700 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl' 2024-01-19 03:05:53,701 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S' 2024-01-19 03:05:53,702 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c' 2024-01-19 03:05:53,702 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld' 2024-01-19 03:05:53,704 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c' 2024-01-19 03:05:53,705 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S' 2024-01-19 03:05:53,706 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c' 2024-01-19 03:05:53,706 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c' 2024-01-19 03:05:53,707 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c' 2024-01-19 03:05:53,708 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h' 2024-01-19 03:05:53,710 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c' 2024-01-19 03:05:53,711 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv' 2024-01-19 03:05:53,712 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c' 2024-01-19 03:05:53,713 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h' 2024-01-19 03:05:53,714 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h' 2024-01-19 03:05:53,715 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S' 2024-01-19 03:05:53,716 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c' 2024-01-19 03:05:53,717 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h' 2024-01-19 03:05:53,718 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace' 2024-01-19 03:05:53,719 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv' 2024-01-19 03:05:53,720 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv' 2024-01-19 03:05:53,722 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv' 2024-01-19 03:05:53,723 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv' 2024-01-19 03:05:53,724 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv' 2024-01-19 03:05:53,726 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv' 2024-01-19 03:05:53,728 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv' 2024-01-19 03:05:53,730 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv' 2024-01-19 03:05:53,731 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv' 2024-01-19 03:05:53,732 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv' 2024-01-19 03:05:53,733 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv' 2024-01-19 03:05:53,734 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv' 2024-01-19 03:05:53,736 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv' 2024-01-19 03:05:53,737 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv' 2024-01-19 03:05:53,738 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv' 2024-01-19 03:05:53,740 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv' 2024-01-19 03:05:53,743 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv' 2024-01-19 03:05:53,745 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv' 2024-01-19 03:05:53,746 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv' 2024-01-19 03:05:53,746 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv' 2024-01-19 03:05:53,748 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv' 2024-01-19 03:05:53,749 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv' 2024-01-19 03:05:53,750 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv' 2024-01-19 03:05:53,751 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv' 2024-01-19 03:05:53,752 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv' 2024-01-19 03:05:53,753 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv' 2024-01-19 03:05:53,754 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv' 2024-01-19 03:05:53,755 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md' 2024-01-19 03:05:53,756 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh' 2024-01-19 03:05:53,757 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh' 2024-01-19 03:05:53,758 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv' 2024-01-19 03:05:53,760 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/LICENSE' 2024-01-19 03:05:53,761 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/METADATA' 2024-01-19 03:05:53,761 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/WHEEL' 2024-01-19 03:05:53,762 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/top_level.txt' 2024-01-19 03:05:53,768 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/RECORD' 2024-01-19 03:05:53,773 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:05:53,783 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cva5 2024-01-19 03:05:54,861 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:05:55,052 root INFO running bdist_wheel 2024-01-19 03:05:55,128 root INFO running build 2024-01-19 03:05:55,128 root INFO running build_py 2024-01-19 03:05:55,139 root INFO creating build 2024-01-19 03:05:55,140 root INFO creating build/lib 2024-01-19 03:05:55,140 root INFO creating build/lib/pythondata_cpu_cva5 2024-01-19 03:05:55,140 root INFO copying pythondata_cpu_cva5/__init__.py -> build/lib/pythondata_cpu_cva5 2024-01-19 03:05:55,144 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,145 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,145 root INFO copying pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,153 root INFO running egg_info 2024-01-19 03:05:55,154 root INFO creating pythondata_cpu_cva5.egg-info 2024-01-19 03:05:55,161 root INFO writing pythondata_cpu_cva5.egg-info/PKG-INFO 2024-01-19 03:05:55,163 root INFO writing dependency_links to pythondata_cpu_cva5.egg-info/dependency_links.txt 2024-01-19 03:05:55,165 root INFO writing top-level names to pythondata_cpu_cva5.egg-info/top_level.txt 2024-01-19 03:05:55,165 root INFO writing manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-01-19 03:05:55,190 root INFO reading manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-01-19 03:05:55,191 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:05:55,203 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:05:55,203 root INFO adding license file 'LICENSE' 2024-01-19 03:05:55,216 root INFO writing manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-01-19 03:05:55,244 root INFO copying pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,245 root INFO copying pythondata_cpu_cva5/system_verilog/LICENSE -> build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,246 root INFO copying pythondata_cpu_cva5/system_verilog/README.md -> build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,247 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,247 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,248 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,249 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,249 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,250 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,251 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,252 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,253 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,253 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,254 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,255 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,256 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,257 root INFO copying pythondata_cpu_cva5/system_verilog/tools/.gitignore -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,257 root INFO copying pythondata_cpu_cva5/system_verilog/tools/compile_order -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,258 root INFO copying pythondata_cpu_cva5/system_verilog/tools/cva5.mak -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,259 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,260 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,261 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,261 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,262 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,263 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,264 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,265 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,265 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 03:05:55,266 root INFO copying pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv -> build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 03:05:55,267 root INFO copying pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv -> build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 03:05:55,267 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,268 root INFO copying pythondata_cpu_cva5/system_verilog/core/addr_hash.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,269 root INFO copying pythondata_cpu_cva5/system_verilog/core/alu_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,269 root INFO copying pythondata_cpu_cva5/system_verilog/core/amo_alu.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,270 root INFO copying pythondata_cpu_cva5/system_verilog/core/avalon_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,271 root INFO copying pythondata_cpu_cva5/system_verilog/core/axi_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,272 root INFO copying pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,273 root INFO copying pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,273 root INFO copying pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,274 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,275 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,276 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,277 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,278 root INFO copying pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,278 root INFO copying pythondata_cpu_cva5/system_verilog/core/clz.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,279 root INFO copying pythondata_cpu_cva5/system_verilog/core/csr_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,280 root INFO copying pythondata_cpu_cva5/system_verilog/core/csr_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,281 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,282 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_config.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,283 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,283 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,284 root INFO copying pythondata_cpu_cva5/system_verilog/core/cycler.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,285 root INFO copying pythondata_cpu_cva5/system_verilog/core/dcache.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,286 root INFO copying pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,286 root INFO copying pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,287 root INFO copying pythondata_cpu_cva5/system_verilog/core/div_core.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,288 root INFO copying pythondata_cpu_cva5/system_verilog/core/div_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,289 root INFO copying pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,290 root INFO copying pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,290 root INFO copying pythondata_cpu_cva5/system_verilog/core/fetch.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,291 root INFO copying pythondata_cpu_cva5/system_verilog/core/gc_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,292 root INFO copying pythondata_cpu_cva5/system_verilog/core/icache.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,293 root INFO copying pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,293 root INFO copying pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,294 root INFO copying pythondata_cpu_cva5/system_verilog/core/interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,295 root INFO copying pythondata_cpu_cva5/system_verilog/core/itag_banks.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,296 root INFO copying pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,296 root INFO copying pythondata_cpu_cva5/system_verilog/core/lfsr.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,297 root INFO copying pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,298 root INFO copying pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,299 root INFO copying pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,299 root INFO copying pythondata_cpu_cva5/system_verilog/core/mmu.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,300 root INFO copying pythondata_cpu_cva5/system_verilog/core/mul_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,301 root INFO copying pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,302 root INFO copying pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,302 root INFO copying pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,303 root INFO copying pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,304 root INFO copying pythondata_cpu_cva5/system_verilog/core/ras.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,305 root INFO copying pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,305 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,306 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_file.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,307 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_free_list.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,308 root INFO copying pythondata_cpu_cva5/system_verilog/core/renamer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,308 root INFO copying pythondata_cpu_cva5/system_verilog/core/riscv_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,309 root INFO copying pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,310 root INFO copying pythondata_cpu_cva5/system_verilog/core/shift_counter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,311 root INFO copying pythondata_cpu_cva5/system_verilog/core/store_queue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,311 root INFO copying pythondata_cpu_cva5/system_verilog/core/tag_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,312 root INFO copying pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,313 root INFO copying pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,314 root INFO copying pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,314 root INFO copying pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,315 root INFO copying pythondata_cpu_cva5/system_verilog/core/writeback.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,316 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,316 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,317 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,318 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,319 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,319 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,320 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,321 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,321 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,322 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,323 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,324 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,324 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,325 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,326 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,326 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,327 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,328 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,329 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,329 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,330 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,331 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal 2024-01-19 03:05:55,331 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 03:05:55,332 root INFO copying pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 03:05:55,332 root INFO copying pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 03:05:55,333 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 03:05:55,334 root INFO copying pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile -> build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 03:05:55,334 root INFO copying pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 03:05:55,335 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 03:05:55,335 root INFO copying pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 03:05:55,336 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples 2024-01-19 03:05:55,337 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,337 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,338 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,339 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,340 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,340 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,341 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,342 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,344 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,345 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,346 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,347 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,348 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,348 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 03:05:55,349 root INFO copying pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 03:05:55,350 root INFO copying pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 03:05:55,350 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,351 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,352 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,353 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,353 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/scripts 2024-01-19 03:05:55,354 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 03:05:55,354 root INFO copying pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 03:05:55,355 root INFO copying pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 03:05:55,356 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 03:05:55,356 root INFO copying pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 03:05:55,357 root INFO copying pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 03:05:55,358 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 03:05:55,358 root INFO copying pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 03:05:55,359 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 03:05:55,359 root INFO copying pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 03:05:55,360 root INFO copying pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 03:05:55,391 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:05:55,391 root INFO running install 2024-01-19 03:05:55,453 root INFO running install_lib 2024-01-19 03:05:55,462 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:05:55,463 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:05:55,463 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5 2024-01-19 03:05:55,464 root INFO copying build/lib/pythondata_cpu_cva5/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5 2024-01-19 03:05:55,464 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,465 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,465 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,466 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,467 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,467 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,468 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,469 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,469 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 03:05:55,470 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,471 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,471 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,472 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,473 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,473 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,474 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,474 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,475 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,476 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,477 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,477 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,478 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,479 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 03:05:55,479 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,480 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,481 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,481 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,482 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 03:05:55,483 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,483 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,484 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,485 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,485 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 03:05:55,486 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,487 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 03:05:55,488 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal 2024-01-19 03:05:55,488 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 03:05:55,489 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 03:05:55,489 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 03:05:55,490 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 03:05:55,491 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 03:05:55,491 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 03:05:55,492 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 03:05:55,492 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 03:05:55,493 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,494 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,494 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,495 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/cva5.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,496 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/compile_order -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 03:05:55,496 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,497 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,497 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,498 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,499 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,499 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,500 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,501 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,501 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 03:05:55,502 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,503 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 03:05:55,503 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 03:05:55,504 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 03:05:55,504 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 03:05:55,505 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples 2024-01-19 03:05:55,506 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,506 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,507 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,508 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,509 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,510 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,512 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,512 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,513 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,514 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,515 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,515 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,516 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 03:05:55,517 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,517 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,518 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,519 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 03:05:55,520 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 03:05:55,520 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 03:05:55,521 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 03:05:55,521 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts 2024-01-19 03:05:55,522 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 03:05:55,522 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 03:05:55,523 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 03:05:55,524 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,524 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,525 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/itag_banks.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,526 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/clz.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,526 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,527 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,528 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,528 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/div_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,529 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,530 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/gc_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,530 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,531 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,532 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_free_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,532 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/tag_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,533 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/fetch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,534 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,534 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,535 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,536 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 03:05:55,536 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 03:05:55,537 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 03:05:55,538 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,538 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 03:05:55,539 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 03:05:55,540 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,540 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,541 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,542 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,542 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/mmu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,543 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,544 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,544 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/store_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,545 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/mul_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,546 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/addr_hash.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,546 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 03:05:55,547 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 03:05:55,547 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 03:05:55,548 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/writeback.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,549 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_file.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,549 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,550 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lfsr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,551 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,551 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,552 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/csr_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,553 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,553 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/amo_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,554 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/div_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,555 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,555 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,556 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,557 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/axi_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,557 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/avalon_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,558 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,559 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,559 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,560 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,561 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/ras.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,561 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/renamer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,562 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,563 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,563 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,564 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/shift_counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,565 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,565 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/riscv_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,566 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_config.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,567 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,568 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cycler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,568 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,569 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/csr_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,570 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,570 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/alu_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 03:05:55,571 root INFO running install_egg_info 2024-01-19 03:05:55,587 root INFO Copying pythondata_cpu_cva5.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5-0.0.post649-py3.11.egg-info 2024-01-19 03:05:55,591 root INFO running install_scripts 2024-01-19 03:05:55,599 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5-0.0.post649.dist-info/WHEEL 2024-01-19 03:05:55,602 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-qxdc_cmy/pythondata_cpu_cva5-0.0.post649-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:05:55,605 wheel INFO adding 'pythondata_cpu_cva5/__init__.py' 2024-01-19 03:05:55,608 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml' 2024-01-19 03:05:55,610 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/LICENSE' 2024-01-19 03:05:55,611 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/README.md' 2024-01-19 03:05:55,612 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/addr_hash.sv' 2024-01-19 03:05:55,613 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/alu_unit.sv' 2024-01-19 03:05:55,614 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/amo_alu.sv' 2024-01-19 03:05:55,615 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/avalon_master.sv' 2024-01-19 03:05:55,616 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/axi_master.sv' 2024-01-19 03:05:55,617 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv' 2024-01-19 03:05:55,618 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv' 2024-01-19 03:05:55,619 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv' 2024-01-19 03:05:55,620 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv' 2024-01-19 03:05:55,621 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv' 2024-01-19 03:05:55,622 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv' 2024-01-19 03:05:55,623 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_unit.sv' 2024-01-19 03:05:55,624 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv' 2024-01-19 03:05:55,625 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/clz.sv' 2024-01-19 03:05:55,626 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/csr_types.sv' 2024-01-19 03:05:55,627 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/csr_unit.sv' 2024-01-19 03:05:55,628 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5.sv' 2024-01-19 03:05:55,630 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_config.sv' 2024-01-19 03:05:55,631 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv' 2024-01-19 03:05:55,632 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_types.sv' 2024-01-19 03:05:55,633 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cycler.sv' 2024-01-19 03:05:55,634 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/dcache.sv' 2024-01-19 03:05:55,635 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv' 2024-01-19 03:05:55,636 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv' 2024-01-19 03:05:55,637 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/div_core.sv' 2024-01-19 03:05:55,638 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/div_unit.sv' 2024-01-19 03:05:55,639 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv' 2024-01-19 03:05:55,640 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv' 2024-01-19 03:05:55,641 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/fetch.sv' 2024-01-19 03:05:55,642 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/gc_unit.sv' 2024-01-19 03:05:55,644 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/icache.sv' 2024-01-19 03:05:55,645 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv' 2024-01-19 03:05:55,646 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv' 2024-01-19 03:05:55,647 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/interfaces.sv' 2024-01-19 03:05:55,648 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/itag_banks.sv' 2024-01-19 03:05:55,649 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv' 2024-01-19 03:05:55,650 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lfsr.sv' 2024-01-19 03:05:55,651 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv' 2024-01-19 03:05:55,652 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv' 2024-01-19 03:05:55,653 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv' 2024-01-19 03:05:55,654 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/mmu.sv' 2024-01-19 03:05:55,655 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/mul_unit.sv' 2024-01-19 03:05:55,656 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv' 2024-01-19 03:05:55,656 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv' 2024-01-19 03:05:55,657 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv' 2024-01-19 03:05:55,658 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv' 2024-01-19 03:05:55,659 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/ras.sv' 2024-01-19 03:05:55,660 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv' 2024-01-19 03:05:55,661 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_bank.sv' 2024-01-19 03:05:55,662 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_file.sv' 2024-01-19 03:05:55,663 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_free_list.sv' 2024-01-19 03:05:55,664 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/renamer.sv' 2024-01-19 03:05:55,665 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/riscv_types.sv' 2024-01-19 03:05:55,666 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv' 2024-01-19 03:05:55,667 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/shift_counter.sv' 2024-01-19 03:05:55,668 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/store_queue.sv' 2024-01-19 03:05:55,669 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/tag_bank.sv' 2024-01-19 03:05:55,669 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv' 2024-01-19 03:05:55,670 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv' 2024-01-19 03:05:55,671 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv' 2024-01-19 03:05:55,672 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv' 2024-01-19 03:05:55,673 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/writeback.sv' 2024-01-19 03:05:55,674 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv' 2024-01-19 03:05:55,675 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv' 2024-01-19 03:05:55,676 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv' 2024-01-19 03:05:55,677 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv' 2024-01-19 03:05:55,678 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv' 2024-01-19 03:05:55,679 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep' 2024-01-19 03:05:55,680 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv' 2024-01-19 03:05:55,681 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv' 2024-01-19 03:05:55,682 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv' 2024-01-19 03:05:55,683 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv' 2024-01-19 03:05:55,684 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv' 2024-01-19 03:05:55,685 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv' 2024-01-19 03:05:55,686 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv' 2024-01-19 03:05:55,687 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv' 2024-01-19 03:05:55,688 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md' 2024-01-19 03:05:55,689 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl' 2024-01-19 03:05:55,691 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png' 2024-01-19 03:05:55,694 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png' 2024-01-19 03:05:55,695 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv' 2024-01-19 03:05:55,697 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init' 2024-01-19 03:05:55,704 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init' 2024-01-19 03:05:55,713 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png' 2024-01-19 03:05:55,715 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png' 2024-01-19 03:05:55,716 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl' 2024-01-19 03:05:55,717 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png' 2024-01-19 03:05:55,719 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc' 2024-01-19 03:05:55,721 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl' 2024-01-19 03:05:55,723 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v' 2024-01-19 03:05:55,724 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc' 2024-01-19 03:05:55,725 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv' 2024-01-19 03:05:55,726 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv' 2024-01-19 03:05:55,727 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv' 2024-01-19 03:05:55,728 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile' 2024-01-19 03:05:55,729 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl' 2024-01-19 03:05:55,730 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv' 2024-01-19 03:05:55,731 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv' 2024-01-19 03:05:55,732 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv' 2024-01-19 03:05:55,733 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv' 2024-01-19 03:05:55,734 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv' 2024-01-19 03:05:55,735 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv' 2024-01-19 03:05:55,736 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv' 2024-01-19 03:05:55,737 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv' 2024-01-19 03:05:55,738 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv' 2024-01-19 03:05:55,739 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl' 2024-01-19 03:05:55,740 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl' 2024-01-19 03:05:55,741 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv' 2024-01-19 03:05:55,742 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv' 2024-01-19 03:05:55,743 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg' 2024-01-19 03:05:55,745 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv' 2024-01-19 03:05:55,746 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv' 2024-01-19 03:05:55,748 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv' 2024-01-19 03:05:55,749 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv' 2024-01-19 03:05:55,750 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc' 2024-01-19 03:05:55,751 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h' 2024-01-19 03:05:55,752 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc' 2024-01-19 03:05:55,753 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h' 2024-01-19 03:05:55,754 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc' 2024-01-19 03:05:55,755 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv' 2024-01-19 03:05:55,756 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt' 2024-01-19 03:05:55,759 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc' 2024-01-19 03:05:55,760 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h' 2024-01-19 03:05:55,761 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h' 2024-01-19 03:05:55,762 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc' 2024-01-19 03:05:55,763 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv' 2024-01-19 03:05:55,764 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc' 2024-01-19 03:05:55,764 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h' 2024-01-19 03:05:55,765 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc' 2024-01-19 03:05:55,766 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/.gitignore' 2024-01-19 03:05:55,767 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/compile_order' 2024-01-19 03:05:55,768 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/cva5.mak' 2024-01-19 03:05:55,769 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py' 2024-01-19 03:05:55,770 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/LICENSE' 2024-01-19 03:05:55,771 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/METADATA' 2024-01-19 03:05:55,772 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/WHEEL' 2024-01-19 03:05:55,773 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/top_level.txt' 2024-01-19 03:05:55,778 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/RECORD' 2024-01-19 03:05:55,782 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:05:55,792 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cva5-0.0.post649-py3-none-any.whl pythondata_cpu_cva5-0.0.post649-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cva6 2024-01-19 03:05:56,868 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:05:57,089 root INFO running bdist_wheel 2024-01-19 03:05:57,163 root INFO running build 2024-01-19 03:05:57,164 root INFO running build_py 2024-01-19 03:05:57,174 root INFO creating build 2024-01-19 03:05:57,175 root INFO creating build/lib 2024-01-19 03:05:57,175 root INFO creating build/lib/pythondata_cpu_cva6 2024-01-19 03:05:57,176 root INFO copying pythondata_cpu_cva6/__init__.py -> build/lib/pythondata_cpu_cva6 2024-01-19 03:05:57,178 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,178 root INFO copying pythondata_cpu_cva6/system_verilog/config_pkg_generator.py -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,182 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,182 root INFO copying pythondata_cpu_cva6/system_verilog/docs/conf.py -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,183 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 03:05:57,184 root INFO copying pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py -> build/lib/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 03:05:57,190 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu 2024-01-19 03:05:57,190 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,191 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,196 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:57,196 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,197 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,198 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,199 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,199 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,210 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 03:05:57,210 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 03:05:57,210 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,211 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,212 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:57,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:57,218 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,219 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,219 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:57,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:57,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:57,230 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd 2024-01-19 03:05:57,230 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:57,230 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:57,231 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:57,234 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:57,234 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:57,235 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:57,244 root INFO running egg_info 2024-01-19 03:05:57,244 root INFO creating pythondata_cpu_cva6.egg-info 2024-01-19 03:05:57,251 root INFO writing pythondata_cpu_cva6.egg-info/PKG-INFO 2024-01-19 03:05:57,253 root INFO writing dependency_links to pythondata_cpu_cva6.egg-info/dependency_links.txt 2024-01-19 03:05:57,255 root INFO writing top-level names to pythondata_cpu_cva6.egg-info/top_level.txt 2024-01-19 03:05:57,256 root INFO writing manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-01-19 03:05:57,348 root INFO reading manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-01-19 03:05:57,349 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:05:57,418 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:05:57,418 root INFO adding license file 'LICENSE' 2024-01-19 03:05:57,492 root INFO writing manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-01-19 03:05:57,674 root INFO copying pythondata_cpu_cva6/system_verilog/.editorconfig -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,674 root INFO copying pythondata_cpu_cva6/system_verilog/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,675 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,676 root INFO copying pythondata_cpu_cva6/system_verilog/.gitmodules -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,677 root INFO copying pythondata_cpu_cva6/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,678 root INFO copying pythondata_cpu_cva6/system_verilog/CHANGELOG.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,679 root INFO copying pythondata_cpu_cva6/system_verilog/CODEOWNERS -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,679 root INFO copying pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,680 root INFO copying pythondata_cpu_cva6/system_verilog/Flist.ariane -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,681 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,682 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,683 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE.SiFive -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,684 root INFO copying pythondata_cpu_cva6/system_verilog/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,685 root INFO copying pythondata_cpu_cva6/system_verilog/README.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,685 root INFO copying pythondata_cpu_cva6/system_verilog/ariane.core -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,686 root INFO copying pythondata_cpu_cva6/system_verilog/init_testharness.do -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,687 root INFO copying pythondata_cpu_cva6/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:57,688 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github 2024-01-19 03:05:57,688 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:57,689 root INFO copying pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:57,689 root INFO copying pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:57,690 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 03:05:57,691 root INFO copying pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 03:05:57,691 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 03:05:57,692 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 03:05:57,693 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 03:05:57,693 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,694 root INFO copying pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,695 root INFO copying pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,696 root INFO copying pythondata_cpu_cva6/system_verilog/ci/check-tests.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,696 root INFO copying pythondata_cpu_cva6/system_verilog/ci/default.config -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,697 root INFO copying pythondata_cpu_cva6/system_verilog/ci/float.config -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,698 root INFO copying pythondata_cpu_cva6/system_verilog/ci/get-torture.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,699 root INFO copying pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,700 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,700 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,701 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,702 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-spike.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,703 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,704 root INFO copying pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,704 root INFO copying pythondata_cpu_cva6/system_verilog/ci/path-setup.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,705 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,706 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,707 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,707 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,708 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,709 root INFO copying pythondata_cpu_cva6/system_verilog/ci/setup.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,710 root INFO copying pythondata_cpu_cva6/system_verilog/ci/torture_make.patch -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,710 root INFO copying pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:57,711 root INFO copying pythondata_cpu_cva6/system_verilog/docs/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,712 root INFO copying pythondata_cpu_cva6/system_verilog/docs/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,713 root INFO copying pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,713 root INFO copying pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,714 root INFO copying pythondata_cpu_cva6/system_verilog/docs/ex_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,715 root INFO copying pythondata_cpu_cva6/system_verilog/docs/id_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,716 root INFO copying pythondata_cpu_cva6/system_verilog/docs/if_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,716 root INFO copying pythondata_cpu_cva6/system_verilog/docs/index.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,717 root INFO copying pythondata_cpu_cva6/system_verilog/docs/intro.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,718 root INFO copying pythondata_cpu_cva6/system_verilog/docs/issue_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,719 root INFO copying pythondata_cpu_cva6/system_verilog/docs/make.bat -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,719 root INFO copying pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,720 root INFO copying pythondata_cpu_cva6/system_verilog/docs/requirements.txt -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:57,721 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,721 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,722 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,723 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,724 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,724 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,725 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,726 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,727 root INFO copying pythondata_cpu_cva6/system_verilog/core/alu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,727 root INFO copying pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,728 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,729 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,730 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,730 root INFO copying pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,731 root INFO copying pythondata_cpu_cva6/system_verilog/core/axi_shim.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,732 root INFO copying pythondata_cpu_cva6/system_verilog/core/branch_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,733 root INFO copying pythondata_cpu_cva6/system_verilog/core/commit_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,733 root INFO copying pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,734 root INFO copying pythondata_cpu_cva6/system_verilog/core/controller.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,735 root INFO copying pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,736 root INFO copying pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,737 root INFO copying pythondata_cpu_cva6/system_verilog/core/cva6.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,738 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,738 root INFO copying pythondata_cpu_cva6/system_verilog/core/decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,739 root INFO copying pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,740 root INFO copying pythondata_cpu_cva6/system_verilog/core/ex_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,741 root INFO copying pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,742 root INFO copying pythondata_cpu_cva6/system_verilog/core/id_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,742 root INFO copying pythondata_cpu_cva6/system_verilog/core/instr_realign.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,743 root INFO copying pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,744 root INFO copying pythondata_cpu_cva6/system_verilog/core/issue_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,745 root INFO copying pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,745 root INFO copying pythondata_cpu_cva6/system_verilog/core/load_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,746 root INFO copying pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,747 root INFO copying pythondata_cpu_cva6/system_verilog/core/mult.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,748 root INFO copying pythondata_cpu_cva6/system_verilog/core/multiplier.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,748 root INFO copying pythondata_cpu_cva6/system_verilog/core/perf_counters.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,749 root INFO copying pythondata_cpu_cva6/system_verilog/core/re_name.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,750 root INFO copying pythondata_cpu_cva6/system_verilog/core/scoreboard.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,751 root INFO copying pythondata_cpu_cva6/system_verilog/core/serdiv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,752 root INFO copying pythondata_cpu_cva6/system_verilog/core/store_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,752 root INFO copying pythondata_cpu_cva6/system_verilog/core/store_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:57,753 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common 2024-01-19 03:05:57,754 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local 2024-01-19 03:05:57,754 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,754 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,755 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,756 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,757 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,757 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,758 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,759 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,760 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:57,760 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib 2024-01-19 03:05:57,761 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga 2024-01-19 03:05:57,761 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:57,761 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:57,762 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:57,763 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:57,764 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:57,764 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:57,765 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:57,766 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:57,766 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:57,770 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:57,771 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,772 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,772 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,773 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,774 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,775 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,775 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,776 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,777 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:57,778 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 03:05:57,778 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 03:05:57,779 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:57,780 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:57,780 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:57,781 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:57,782 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:57,783 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:57,783 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 03:05:57,784 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 03:05:57,785 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,786 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,786 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,787 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,788 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,789 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,789 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,790 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:57,791 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,792 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,793 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,793 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,794 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,795 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,796 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:57,796 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:57,797 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:57,797 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:57,798 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:57,799 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:57,799 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:57,800 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:57,801 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:57,802 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:57,802 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:57,804 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,804 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,805 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,806 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,807 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,808 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:57,808 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,809 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,810 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,811 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,811 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,812 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,813 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,814 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,815 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,815 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,816 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,817 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:57,817 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,818 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,819 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,819 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,820 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,821 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,822 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,822 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,823 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,824 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,825 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:57,825 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 03:05:57,826 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 03:05:57,827 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 03:05:57,827 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 03:05:57,828 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 03:05:57,828 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 03:05:57,829 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 03:05:57,829 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 03:05:57,830 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 03:05:57,830 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 03:05:57,831 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 03:05:57,832 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 03:05:57,832 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 03:05:57,833 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 03:05:57,833 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 03:05:57,834 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 03:05:57,835 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:57,835 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:57,836 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:57,837 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:57,837 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:57,838 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 03:05:57,839 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 03:05:57,839 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 03:05:57,840 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 03:05:57,840 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 03:05:57,841 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 03:05:57,842 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 03:05:57,842 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 03:05:57,843 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 03:05:57,843 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 03:05:57,844 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 03:05:57,844 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 03:05:57,845 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 03:05:57,846 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 03:05:57,846 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 03:05:57,847 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 03:05:57,847 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 03:05:57,848 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 03:05:57,848 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 03:05:57,849 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 03:05:57,850 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 03:05:57,850 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 03:05:57,851 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 03:05:57,852 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,852 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,853 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,854 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,855 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,855 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:57,856 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:57,857 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:57,858 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:57,858 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:57,859 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,860 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,860 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,861 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,862 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,863 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,863 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,864 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,865 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,866 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,866 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:57,867 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,867 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,868 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,869 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,870 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,870 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,871 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,872 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,873 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,873 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,874 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,875 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,876 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,877 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,877 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:57,878 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,879 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,879 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,880 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,881 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,881 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,882 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,883 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,884 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,884 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,885 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,886 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,887 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,887 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:57,888 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,889 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,890 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,890 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,891 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4 -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,892 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,893 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,894 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,895 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,895 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,896 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,897 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,898 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:57,898 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:57,899 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:57,899 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:57,900 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:57,901 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:57,902 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:57,902 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:57,903 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:57,904 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:57,904 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:57,905 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:57,906 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:57,906 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:57,907 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:57,908 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:57,908 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:57,909 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:57,910 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:57,910 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:57,911 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 03:05:57,912 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 03:05:57,912 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:57,913 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:57,913 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:57,914 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:57,915 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:57,916 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,916 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,917 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,918 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,918 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,919 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,920 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,921 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:57,921 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:57,922 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,922 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,923 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,924 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,925 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,925 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,926 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,927 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,928 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,928 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,929 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,930 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,931 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,931 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,932 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,933 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,934 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,934 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,935 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,936 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,937 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,937 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,938 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,939 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,940 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,940 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,941 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,942 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,943 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,943 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,944 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,945 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,946 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,946 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,947 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,948 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,949 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,949 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,950 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,951 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,952 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,952 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,953 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,954 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,954 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,955 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,956 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,957 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,957 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,958 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,959 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,960 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,960 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,961 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,962 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,963 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,963 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,964 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,965 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,966 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,966 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,967 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,968 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,969 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,969 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,970 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,971 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,972 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,972 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,973 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,974 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,975 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,975 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,976 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,977 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,978 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,978 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,979 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,980 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,981 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,981 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,982 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,983 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,984 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,984 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,985 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,986 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,987 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,987 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,988 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,989 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,990 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,990 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,991 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,992 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,993 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,993 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,994 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,995 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,996 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,996 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,997 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,998 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,999 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:57,999 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,000 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,001 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,002 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,002 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,003 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,004 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,005 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,005 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,006 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,007 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,008 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,008 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,009 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,010 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,011 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,012 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,012 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,013 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,014 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,015 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,015 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,016 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,017 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,018 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,018 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,019 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,020 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,021 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,021 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,022 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,023 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,024 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,024 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,025 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,026 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,027 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,027 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,028 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,029 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,030 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,030 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,031 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,032 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,033 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,033 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,034 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,035 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,036 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,036 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,037 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,038 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,039 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,039 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,040 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,041 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,042 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,042 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,043 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,044 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,045 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,045 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,046 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,047 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,048 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,048 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,049 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,050 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,051 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,051 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,052 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,053 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,054 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,054 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,055 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,056 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,057 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,058 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,058 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,059 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,060 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,060 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,061 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,062 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,063 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,063 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,064 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,065 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,066 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,066 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,067 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,068 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,069 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,069 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,070 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,071 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,072 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,072 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,073 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,074 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,075 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,075 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,076 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,077 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,078 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,078 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,079 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,080 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,081 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,081 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,082 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,083 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,084 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,084 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,085 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,086 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,087 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,088 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,088 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,089 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,090 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,091 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,091 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,092 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,093 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,093 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,094 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,095 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,095 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,096 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,097 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,098 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,099 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,099 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,100 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,101 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,102 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,102 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,103 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,104 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,105 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,105 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,106 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,107 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,108 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,108 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,109 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,110 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,111 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,111 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,112 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,113 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,114 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,114 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,115 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,116 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,117 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,118 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,118 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,119 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,120 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,121 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,121 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,122 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,123 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,124 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,124 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,125 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,126 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,127 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,127 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,128 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,129 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,130 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,130 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:58,131 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:58,132 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:58,132 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:58,133 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:58,134 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:58,135 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:58,135 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:58,136 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:58,136 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:58,137 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:58,138 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:58,138 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:58,139 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:58,140 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:58,141 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:58,142 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:58,142 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,143 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,144 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,144 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,145 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,146 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,147 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,147 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,148 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,149 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,150 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,150 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,151 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,152 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,153 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,153 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,154 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,155 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,155 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,156 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,157 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,158 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,158 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,159 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,160 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,161 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,161 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,162 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,163 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,164 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,164 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,165 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,166 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,167 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,167 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,168 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,169 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,170 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,170 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,171 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,172 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,172 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,173 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,174 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,175 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,175 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,176 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,177 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,178 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,178 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,179 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,180 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,181 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,181 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,182 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,183 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,184 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,184 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,185 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,186 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,187 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,187 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,188 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,189 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,190 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,190 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,191 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,192 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,192 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,193 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,194 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,195 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,195 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,196 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,197 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,198 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,198 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,199 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,200 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,201 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,201 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,202 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,203 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,204 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,204 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,205 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,206 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,207 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,207 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,208 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,209 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,210 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,210 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,211 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,213 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,214 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,215 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,215 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,216 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,217 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,218 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,218 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,219 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,221 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,221 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,222 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,223 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,224 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,224 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,225 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,226 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,227 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,227 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,228 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,229 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,229 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,230 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,231 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,232 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,232 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,233 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,234 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,235 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,235 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,236 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,237 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,238 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,238 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,239 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,240 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,241 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,241 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,242 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,243 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,244 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,244 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,245 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,246 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,247 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,247 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,248 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,249 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,250 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,250 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,251 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,252 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,253 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,253 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,254 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,255 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,256 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,256 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,257 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,258 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,259 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,259 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,260 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,261 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,262 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,262 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,263 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,264 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,265 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,265 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,266 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,267 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,268 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,268 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,269 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,270 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,271 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,271 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,272 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,273 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,274 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,274 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,275 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,276 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,277 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,277 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,278 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,279 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,280 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,280 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,281 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,282 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,283 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,283 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,284 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,285 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,286 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,286 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,287 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,288 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,289 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,289 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,290 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,291 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,292 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,292 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,293 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,294 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,295 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,295 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,296 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,297 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,298 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,298 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,299 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,300 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,301 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,301 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,302 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,303 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,304 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,304 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,305 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,306 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,307 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,307 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,308 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,309 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,310 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,310 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,311 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,312 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,313 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 03:05:58,313 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 03:05:58,314 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:58,314 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:58,315 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:58,316 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:58,317 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:58,317 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:58,318 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:58,319 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 03:05:58,319 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 03:05:58,320 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 03:05:58,321 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 03:05:58,321 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 03:05:58,322 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:58,323 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:58,323 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:58,324 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:58,325 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:58,326 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:58,326 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:58,327 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:58,328 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:58,329 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:58,329 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:58,330 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:58,331 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:58,332 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:58,332 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:58,333 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:58,334 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 03:05:58,334 root INFO copying pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 03:05:58,335 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 03:05:58,336 root INFO copying pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc -> build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 03:05:58,336 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,337 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,339 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,341 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,344 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,346 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,347 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,349 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,349 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,352 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,353 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,354 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,355 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,356 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,357 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,359 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,361 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,363 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,364 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,365 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,368 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,369 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,373 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:58,374 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,375 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,376 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,377 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,378 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,379 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,380 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,381 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:58,382 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:58,383 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:58,385 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:58,385 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:58,386 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:58,387 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:58,388 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:58,388 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 03:05:58,389 root INFO copying pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 03:05:58,390 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:58,390 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:58,391 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:58,392 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:58,392 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,393 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,394 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,394 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,395 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,396 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,397 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,397 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,398 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,399 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,400 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,400 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,401 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,402 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,403 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,403 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:58,404 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 03:05:58,405 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 03:05:58,405 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 03:05:58,406 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:58,407 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:58,407 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:58,408 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:58,409 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,409 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,410 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,411 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,412 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,412 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,413 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,414 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,415 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,416 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,416 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,417 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,418 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,419 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,420 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,420 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,421 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,422 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:58,423 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:58,423 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:58,424 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:58,424 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:58,425 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:58,426 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:58,427 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:58,427 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:58,428 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:58,429 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:58,429 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:58,430 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:58,431 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:58,432 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb 2024-01-19 03:05:58,432 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 03:05:58,433 root INFO copying pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb -> build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 03:05:58,439 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 03:05:58,440 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 03:05:58,441 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 03:05:58,441 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 03:05:58,442 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 03:05:58,443 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 03:05:58,443 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 03:05:58,444 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 03:05:58,444 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 03:05:58,445 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 03:05:58,514 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:05:58,514 root INFO running install 2024-01-19 03:05:58,576 root INFO running install_lib 2024-01-19 03:05:58,586 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:05:58,586 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:05:58,587 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6 2024-01-19 03:05:58,587 root INFO copying build/lib/pythondata_cpu_cva6/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6 2024-01-19 03:05:58,588 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:58,589 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CODEOWNERS -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:58,589 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common 2024-01-19 03:05:58,590 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local 2024-01-19 03:05:58,591 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,591 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,592 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,592 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,593 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,594 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,595 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,595 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,596 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 03:05:58,597 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib 2024-01-19 03:05:58,597 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga 2024-01-19 03:05:58,598 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:58,598 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:58,599 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:58,600 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:58,601 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:58,601 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 03:05:58,602 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu 2024-01-19 03:05:58,603 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:58,603 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:58,604 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:58,605 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 03:05:58,605 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,606 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,606 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,607 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,608 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,609 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,609 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,610 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,611 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,612 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,612 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 03:05:58,613 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 03:05:58,614 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 03:05:58,614 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:58,615 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:58,616 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,616 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,617 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,617 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,618 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,619 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,619 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,620 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,621 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,621 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,622 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,623 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,624 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,624 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 03:05:58,625 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,626 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,626 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,627 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,628 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,628 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,629 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,630 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,631 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,631 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,632 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,633 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,633 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 03:05:58,634 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,635 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,635 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,636 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,637 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,637 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 03:05:58,638 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:58,639 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 03:05:58,639 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 03:05:58,640 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 03:05:58,640 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 03:05:58,641 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 03:05:58,642 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 03:05:58,642 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 03:05:58,643 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 03:05:58,643 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 03:05:58,644 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 03:05:58,644 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 03:05:58,645 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 03:05:58,646 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:58,646 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 03:05:58,647 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 03:05:58,647 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 03:05:58,648 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 03:05:58,649 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 03:05:58,649 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 03:05:58,650 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 03:05:58,650 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 03:05:58,651 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 03:05:58,652 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 03:05:58,652 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 03:05:58,653 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 03:05:58,653 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:58,654 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 03:05:58,655 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 03:05:58,655 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 03:05:58,656 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 03:05:58,657 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 03:05:58,657 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 03:05:58,658 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 03:05:58,658 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 03:05:58,659 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 03:05:58,660 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:58,660 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:58,661 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:58,661 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:58,662 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 03:05:58,663 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 03:05:58,663 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 03:05:58,664 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 03:05:58,665 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 03:05:58,665 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 03:05:58,666 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 03:05:58,666 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:58,667 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:58,668 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:58,668 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:58,669 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:58,670 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:58,671 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 03:05:58,672 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:58,672 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:58,673 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:58,673 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 03:05:58,674 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,675 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,675 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,676 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,677 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,677 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,678 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,679 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 03:05:58,679 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 03:05:58,680 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 03:05:58,680 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,681 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,681 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,682 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,683 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,683 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,684 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,685 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,685 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,686 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,687 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,687 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 03:05:58,688 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,689 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,689 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,690 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,690 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,691 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,692 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 03:05:58,692 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 03:05:58,693 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:58,694 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:58,694 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:58,695 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:58,696 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:58,696 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 03:05:58,697 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 03:05:58,698 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 03:05:58,698 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:58,699 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:58,700 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,700 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,701 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,702 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,702 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,703 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,704 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,704 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,705 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,706 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,706 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,707 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,708 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,708 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,709 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 03:05:58,710 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,710 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,711 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,711 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,712 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,713 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,713 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,714 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,715 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,715 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,716 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,717 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,717 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,718 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 03:05:58,719 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:58,719 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,720 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,721 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,721 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,722 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,722 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,723 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,724 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,724 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,725 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,726 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,726 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 03:05:58,727 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:58,727 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:58,728 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:58,729 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 03:05:58,729 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,731 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,731 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,732 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,732 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,733 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,734 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,734 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,735 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,736 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,736 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,737 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,738 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,738 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,739 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,740 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,740 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,741 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,742 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,742 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,743 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,744 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,744 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,745 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,746 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,746 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,747 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,748 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,748 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,749 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,750 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,750 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,751 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,752 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,752 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,753 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,754 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,754 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,755 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,756 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,756 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,757 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,758 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,758 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,759 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,760 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,760 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,761 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,762 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,762 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,763 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,764 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,764 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,765 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,766 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,766 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,767 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,768 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,768 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,769 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,770 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,770 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,771 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,772 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,772 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,773 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,774 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,774 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,775 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,776 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,776 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,777 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,778 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,778 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,779 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,780 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,780 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,781 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,782 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,782 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,783 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,784 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,784 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,785 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,786 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,786 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,787 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,788 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,788 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,789 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,790 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,790 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,791 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,792 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,792 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,793 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,794 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,794 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,795 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,796 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,796 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,797 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,798 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,798 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,799 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,800 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,800 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,801 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,801 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,802 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,803 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,804 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,804 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,805 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,806 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,806 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,807 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,808 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,808 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,809 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,810 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,810 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,811 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,812 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,812 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,813 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,814 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,814 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,815 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,816 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,816 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,817 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,818 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,818 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,819 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,820 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,820 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,821 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,822 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,822 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,823 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,824 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,824 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,825 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,826 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,826 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,827 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,828 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,828 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,829 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,830 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,830 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,831 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,832 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,832 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,833 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,834 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,834 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,835 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,836 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,836 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,837 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,838 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,838 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,839 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,840 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,841 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,841 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,842 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,843 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,843 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,844 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,845 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,845 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,846 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,847 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,847 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,848 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,849 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,849 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,850 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,851 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,851 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,852 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,853 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,853 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,854 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,855 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,855 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,856 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,857 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,857 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,858 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,859 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,859 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,860 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,861 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,861 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,862 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,863 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,863 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,864 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,865 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,865 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,866 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,867 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,867 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,868 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,869 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,869 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,870 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,871 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,871 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,872 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,873 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,873 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,874 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,875 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,875 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,876 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,877 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,877 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,878 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,879 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,879 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,880 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,881 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,881 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,882 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 03:05:58,883 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,883 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,884 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,885 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,886 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:58,887 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,888 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,889 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,890 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,891 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,891 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,892 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,893 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,894 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,894 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,895 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,896 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,896 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,897 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,898 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,898 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,899 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,900 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,900 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,901 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,902 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,902 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,903 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,904 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,904 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,905 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,906 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,906 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,907 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,908 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,908 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,909 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,910 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,910 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,911 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,911 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,912 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,913 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,913 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,914 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,915 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,916 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,916 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,917 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,918 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,918 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,919 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,920 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:58,921 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,921 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,922 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,923 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,923 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,924 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,925 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,925 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,926 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,927 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,927 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,928 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,929 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,929 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,930 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,931 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,931 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,932 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,932 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,933 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,934 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,934 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,935 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,936 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,936 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,937 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,938 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,938 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,939 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,940 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,940 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,941 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,941 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,942 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,943 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,943 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,944 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,945 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,945 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,946 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,947 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,947 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,948 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,949 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,949 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,950 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,951 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,951 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,952 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,953 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,953 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,954 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,955 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,955 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,956 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,956 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,957 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,958 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,958 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,959 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,960 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,960 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,961 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,962 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,962 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,963 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,964 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,964 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,965 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,965 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,966 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,967 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,967 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,968 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,969 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,969 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,970 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,971 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,971 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,972 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,973 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,973 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,974 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,975 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,975 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,976 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,977 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,977 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,978 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,979 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,979 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,980 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,981 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,981 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,982 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,982 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,983 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,984 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,985 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,985 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,986 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,986 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,987 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,988 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,988 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,989 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,990 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,990 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,991 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,992 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,992 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,993 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,994 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,994 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,995 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,996 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,996 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,997 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,998 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,998 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:58,999 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,000 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,000 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,001 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,002 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,002 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,003 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,003 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,004 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,005 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,005 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,006 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,007 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,007 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,008 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,009 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,009 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,010 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,011 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,011 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,012 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,013 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,013 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,014 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,015 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,015 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,016 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,017 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,017 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,018 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,019 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,019 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,020 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,021 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,021 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,022 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,022 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,023 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,024 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,024 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,025 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,026 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,026 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,027 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,028 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,028 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,029 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,030 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,030 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,031 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,032 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,032 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,033 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,034 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,034 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,035 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,036 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,036 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,037 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,038 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,038 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,039 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,039 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,040 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,041 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,041 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,042 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,043 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,043 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,044 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,045 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,045 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,046 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,047 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,047 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,048 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,049 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,049 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,050 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,051 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,051 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,052 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,053 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,053 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,054 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,055 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,055 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,056 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,057 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,057 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,058 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,059 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,059 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,060 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,061 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,061 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,062 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,062 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,063 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,064 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,064 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,065 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,066 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,066 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,067 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,068 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,068 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,069 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,070 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 03:05:59,070 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:59,071 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 03:05:59,072 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:59,073 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:59,073 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:59,074 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:59,074 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:59,075 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:59,076 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:59,076 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:59,077 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 03:05:59,078 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 03:05:59,078 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:59,079 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:59,080 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:59,080 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:59,081 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 03:05:59,082 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:59,082 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:59,083 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:59,084 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:59,085 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:59,085 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 03:05:59,086 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:59,087 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:59,087 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:59,088 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:59,088 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 03:05:59,089 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 03:05:59,090 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:59,090 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 03:05:59,091 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:59,092 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:59,092 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:59,093 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:59,093 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:59,094 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:59,095 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:59,095 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:59,096 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 03:05:59,097 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:59,097 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 03:05:59,098 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:59,099 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:59,099 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:59,100 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:59,101 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 03:05:59,101 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 03:05:59,102 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:59,103 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 03:05:59,103 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:59,104 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:59,104 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:59,105 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:59,106 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 03:05:59,106 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 03:05:59,107 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 03:05:59,108 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:59,108 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 03:05:59,109 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 03:05:59,110 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 03:05:59,110 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:59,111 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:59,111 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:59,112 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:59,113 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:59,113 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:59,114 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:59,115 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 03:05:59,115 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:59,116 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 03:05:59,117 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:59,117 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:59,118 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 03:05:59,119 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,119 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,120 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,121 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Flist.ariane -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,121 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 03:05:59,122 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 03:05:59,123 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 03:05:59,123 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,124 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/init_testharness.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,125 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,125 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.editorconfig -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,126 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd 2024-01-19 03:05:59,127 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:59,127 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:59,128 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:59,128 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:59,129 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:59,130 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 03:05:59,130 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:59,131 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:59,132 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:59,132 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 03:05:59,133 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/config_pkg_generator.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,134 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,134 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,135 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,135 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,136 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-spike.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,137 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,137 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/path-setup.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,138 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/check-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,139 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,139 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,140 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,141 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,141 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,142 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,143 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,143 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,144 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/default.config -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,144 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/torture_make.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,145 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/float.config -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,146 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/setup.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,146 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,147 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/get-torture.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,148 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 03:05:59,148 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,149 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,150 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,150 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,151 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,152 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,152 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,153 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,154 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/intro.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,154 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/ex_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,155 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,156 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:59,156 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,157 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,158 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,158 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,159 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,160 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,161 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,162 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 03:05:59,162 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:59,163 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,164 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,164 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,165 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,166 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,166 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,167 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,168 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,169 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 03:05:59,169 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:59,170 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:59,171 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 03:05:59,171 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,172 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,173 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/if_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,173 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/issue_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,174 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,175 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/id_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,175 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 03:05:59,176 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 03:05:59,176 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 03:05:59,177 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 03:05:59,178 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 03:05:59,179 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 03:05:59,179 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,180 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,184 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,186 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,189 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,190 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,191 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,192 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,193 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,194 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,196 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,198 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,200 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,202 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,203 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,205 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,206 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,208 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,210 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,212 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,213 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,214 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,216 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 03:05:59,217 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,218 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,218 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 03:05:59,219 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,220 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,221 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 03:05:59,221 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 03:05:59,222 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,223 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/load_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,223 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:59,224 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:59,224 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:59,225 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 03:05:59,226 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ex_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,227 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/store_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,227 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,228 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,228 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,229 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,230 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,230 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,231 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,232 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,232 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,233 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,234 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,235 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,235 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,236 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,237 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,237 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 03:05:59,238 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/perf_counters.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,239 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,239 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb 2024-01-19 03:05:59,240 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 03:05:59,240 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 03:05:59,246 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,247 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,248 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,248 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,249 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,250 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,251 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,251 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,252 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,253 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/re_name.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,254 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,254 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,255 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,256 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/commit_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,257 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,258 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 03:05:59,258 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 03:05:59,259 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 03:05:59,259 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 03:05:59,260 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 03:05:59,261 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/axi_shim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,262 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/branch_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,262 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,263 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:59,264 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:59,264 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:59,265 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 03:05:59,266 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,267 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/issue_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,267 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,268 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cva6.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,269 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,270 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/multiplier.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,270 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,271 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/serdiv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,272 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/instr_realign.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,273 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,273 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,274 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,275 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,276 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,276 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,277 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,278 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,279 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,280 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,280 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,281 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,282 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,282 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,283 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,284 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,285 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,285 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,286 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,287 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,287 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 03:05:59,288 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,289 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:59,289 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 03:05:59,290 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 03:05:59,290 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 03:05:59,291 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 03:05:59,292 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 03:05:59,292 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:59,293 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 03:05:59,294 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 03:05:59,294 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 03:05:59,295 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:59,296 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:59,296 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:59,297 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 03:05:59,298 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,298 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:59,299 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:59,300 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:59,300 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:59,301 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:59,302 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:59,302 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 03:05:59,303 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,304 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,304 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,305 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 03:05:59,306 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github 2024-01-19 03:05:59,306 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:59,307 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:59,307 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:05:59,308 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 03:05:59,309 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 03:05:59,309 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ariane.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 03:05:59,310 root INFO running install_egg_info 2024-01-19 03:05:59,327 root INFO Copying pythondata_cpu_cva6.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6-4.2.0.post435-py3.11.egg-info 2024-01-19 03:05:59,331 root INFO running install_scripts 2024-01-19 03:05:59,340 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6-4.2.0.post435.dist-info/WHEEL 2024-01-19 03:05:59,342 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-s09kd2i0/pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:05:59,345 wheel INFO adding 'pythondata_cpu_cva6/__init__.py' 2024-01-19 03:05:59,349 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.editorconfig' 2024-01-19 03:05:59,350 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitignore' 2024-01-19 03:05:59,351 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml' 2024-01-19 03:05:59,352 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitmodules' 2024-01-19 03:05:59,353 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Bender.yml' 2024-01-19 03:05:59,354 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CHANGELOG.md' 2024-01-19 03:05:59,356 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CODEOWNERS' 2024-01-19 03:05:59,357 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md' 2024-01-19 03:05:59,358 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Flist.ariane' 2024-01-19 03:05:59,359 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE' 2024-01-19 03:05:59,360 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley' 2024-01-19 03:05:59,361 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE.SiFive' 2024-01-19 03:05:59,362 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Makefile' 2024-01-19 03:05:59,364 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/README.md' 2024-01-19 03:05:59,365 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ariane.core' 2024-01-19 03:05:59,366 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/config_pkg_generator.py' 2024-01-19 03:05:59,367 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/init_testharness.do' 2024-01-19 03:05:59,367 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/src_files.yml' 2024-01-19 03:05:59,369 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml' 2024-01-19 03:05:59,369 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml' 2024-01-19 03:05:59,370 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml' 2024-01-19 03:05:59,372 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml' 2024-01-19 03:05:59,372 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml' 2024-01-19 03:05:59,374 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh' 2024-01-19 03:05:59,374 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh' 2024-01-19 03:05:59,375 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/check-tests.sh' 2024-01-19 03:05:59,376 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/default.config' 2024-01-19 03:05:59,377 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/float.config' 2024-01-19 03:05:59,378 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/get-torture.sh' 2024-01-19 03:05:59,379 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh' 2024-01-19 03:05:59,380 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh' 2024-01-19 03:05:59,380 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh' 2024-01-19 03:05:59,381 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh' 2024-01-19 03:05:59,382 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-spike.sh' 2024-01-19 03:05:59,383 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh' 2024-01-19 03:05:59,384 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh' 2024-01-19 03:05:59,385 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/path-setup.sh' 2024-01-19 03:05:59,386 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list' 2024-01-19 03:05:59,386 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list' 2024-01-19 03:05:59,387 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list' 2024-01-19 03:05:59,388 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list' 2024-01-19 03:05:59,389 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list' 2024-01-19 03:05:59,390 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/setup.sh' 2024-01-19 03:05:59,391 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/torture_make.patch' 2024-01-19 03:05:59,392 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh' 2024-01-19 03:05:59,394 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv' 2024-01-19 03:05:59,395 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv' 2024-01-19 03:05:59,396 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv' 2024-01-19 03:05:59,397 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv' 2024-01-19 03:05:59,398 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv' 2024-01-19 03:05:59,399 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh' 2024-01-19 03:05:59,400 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv' 2024-01-19 03:05:59,401 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh' 2024-01-19 03:05:59,403 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv' 2024-01-19 03:05:59,404 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv' 2024-01-19 03:05:59,405 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv' 2024-01-19 03:05:59,406 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv' 2024-01-19 03:05:59,406 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv' 2024-01-19 03:05:59,408 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x' 2024-01-19 03:05:59,409 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate' 2024-01-19 03:05:59,410 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0' 2024-01-19 03:05:59,411 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32' 2024-01-19 03:05:59,412 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32' 2024-01-19 03:05:59,413 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39' 2024-01-19 03:05:59,414 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate' 2024-01-19 03:05:59,414 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/alu.sv' 2024-01-19 03:05:59,416 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv' 2024-01-19 03:05:59,416 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane.sv' 2024-01-19 03:05:59,417 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv' 2024-01-19 03:05:59,418 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv' 2024-01-19 03:05:59,419 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv' 2024-01-19 03:05:59,420 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/axi_shim.sv' 2024-01-19 03:05:59,422 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/branch_unit.sv' 2024-01-19 03:05:59,423 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/commit_stage.sv' 2024-01-19 03:05:59,424 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv' 2024-01-19 03:05:59,425 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/controller.sv' 2024-01-19 03:05:59,426 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv' 2024-01-19 03:05:59,427 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv' 2024-01-19 03:05:59,429 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cva6.sv' 2024-01-19 03:05:59,431 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv' 2024-01-19 03:05:59,432 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/decoder.sv' 2024-01-19 03:05:59,434 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv' 2024-01-19 03:05:59,435 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ex_stage.sv' 2024-01-19 03:05:59,436 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv' 2024-01-19 03:05:59,438 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/id_stage.sv' 2024-01-19 03:05:59,439 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/instr_realign.sv' 2024-01-19 03:05:59,440 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv' 2024-01-19 03:05:59,441 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/issue_stage.sv' 2024-01-19 03:05:59,442 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv' 2024-01-19 03:05:59,443 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/load_unit.sv' 2024-01-19 03:05:59,445 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv' 2024-01-19 03:05:59,446 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mult.sv' 2024-01-19 03:05:59,447 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/multiplier.sv' 2024-01-19 03:05:59,448 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/perf_counters.sv' 2024-01-19 03:05:59,448 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/re_name.sv' 2024-01-19 03:05:59,449 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/scoreboard.sv' 2024-01-19 03:05:59,451 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/serdiv.sv' 2024-01-19 03:05:59,452 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/store_buffer.sv' 2024-01-19 03:05:59,453 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/store_unit.sv' 2024-01-19 03:05:59,454 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv' 2024-01-19 03:05:59,455 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv' 2024-01-19 03:05:59,457 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv' 2024-01-19 03:05:59,458 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv' 2024-01-19 03:05:59,460 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv' 2024-01-19 03:05:59,461 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv' 2024-01-19 03:05:59,462 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv' 2024-01-19 03:05:59,463 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv' 2024-01-19 03:05:59,465 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv' 2024-01-19 03:05:59,466 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv' 2024-01-19 03:05:59,467 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv' 2024-01-19 03:05:59,468 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv' 2024-01-19 03:05:59,469 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv' 2024-01-19 03:05:59,470 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv' 2024-01-19 03:05:59,472 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv' 2024-01-19 03:05:59,473 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv' 2024-01-19 03:05:59,474 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv' 2024-01-19 03:05:59,476 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv' 2024-01-19 03:05:59,477 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv' 2024-01-19 03:05:59,478 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv' 2024-01-19 03:05:59,485 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb' 2024-01-19 03:05:59,527 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv' 2024-01-19 03:05:59,528 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv' 2024-01-19 03:05:59,529 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv' 2024-01-19 03:05:59,530 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv' 2024-01-19 03:05:59,532 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv' 2024-01-19 03:05:59,532 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv' 2024-01-19 03:05:59,534 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv' 2024-01-19 03:05:59,535 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv' 2024-01-19 03:05:59,536 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv' 2024-01-19 03:05:59,537 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv' 2024-01-19 03:05:59,538 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv' 2024-01-19 03:05:59,539 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv' 2024-01-19 03:05:59,540 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv' 2024-01-19 03:05:59,541 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv' 2024-01-19 03:05:59,542 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv' 2024-01-19 03:05:59,542 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv' 2024-01-19 03:05:59,543 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv' 2024-01-19 03:05:59,544 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv' 2024-01-19 03:05:59,545 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv' 2024-01-19 03:05:59,547 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv' 2024-01-19 03:05:59,548 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv' 2024-01-19 03:05:59,549 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv' 2024-01-19 03:05:59,551 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv' 2024-01-19 03:05:59,552 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv' 2024-01-19 03:05:59,553 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv' 2024-01-19 03:05:59,554 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv' 2024-01-19 03:05:59,555 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv' 2024-01-19 03:05:59,557 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore' 2024-01-19 03:05:59,558 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml' 2024-01-19 03:05:59,558 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/Makefile' 2024-01-19 03:05:59,559 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/README.md' 2024-01-19 03:05:59,560 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby' 2024-01-19 03:05:59,561 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv' 2024-01-19 03:05:59,562 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv' 2024-01-19 03:05:59,563 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv' 2024-01-19 03:05:59,564 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv' 2024-01-19 03:05:59,565 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv' 2024-01-19 03:05:59,566 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore' 2024-01-19 03:05:59,567 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile' 2024-01-19 03:05:59,568 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts' 2024-01-19 03:05:59,569 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S' 2024-01-19 03:05:59,570 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h' 2024-01-19 03:05:59,571 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv' 2024-01-19 03:05:59,572 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv' 2024-01-19 03:05:59,573 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h' 2024-01-19 03:05:59,574 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py' 2024-01-19 03:05:59,575 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld' 2024-01-19 03:05:59,576 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md' 2024-01-19 03:05:59,577 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv' 2024-01-19 03:05:59,578 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv' 2024-01-19 03:05:59,579 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile' 2024-01-19 03:05:59,580 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg' 2024-01-19 03:05:59,581 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg' 2024-01-19 03:05:59,582 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg' 2024-01-19 03:05:59,583 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg' 2024-01-19 03:05:59,584 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh' 2024-01-19 03:05:59,585 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc' 2024-01-19 03:05:59,585 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc' 2024-01-19 03:05:59,587 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc' 2024-01-19 03:05:59,588 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc' 2024-01-19 03:05:59,589 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc' 2024-01-19 03:05:59,594 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh' 2024-01-19 03:05:59,595 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py' 2024-01-19 03:05:59,596 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl' 2024-01-19 03:05:59,597 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl' 2024-01-19 03:05:59,598 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl' 2024-01-19 03:05:59,598 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl' 2024-01-19 03:05:59,599 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl' 2024-01-19 03:05:59,601 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv' 2024-01-19 03:05:59,602 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv' 2024-01-19 03:05:59,604 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv' 2024-01-19 03:05:59,605 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh' 2024-01-19 03:05:59,606 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh' 2024-01-19 03:05:59,607 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh' 2024-01-19 03:05:59,608 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh' 2024-01-19 03:05:59,609 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore' 2024-01-19 03:05:59,610 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile' 2024-01-19 03:05:59,610 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md' 2024-01-19 03:05:59,611 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h' 2024-01-19 03:05:59,613 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv' 2024-01-19 03:05:59,614 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h' 2024-01-19 03:05:59,616 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv' 2024-01-19 03:05:59,617 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts' 2024-01-19 03:05:59,618 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts' 2024-01-19 03:05:59,619 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py' 2024-01-19 03:05:59,620 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds' 2024-01-19 03:05:59,621 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h' 2024-01-19 03:05:59,622 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S' 2024-01-19 03:05:59,623 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c' 2024-01-19 03:05:59,624 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h' 2024-01-19 03:05:59,624 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c' 2024-01-19 03:05:59,625 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c' 2024-01-19 03:05:59,626 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h' 2024-01-19 03:05:59,627 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h' 2024-01-19 03:05:59,628 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c' 2024-01-19 03:05:59,629 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h' 2024-01-19 03:05:59,630 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c' 2024-01-19 03:05:59,631 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h' 2024-01-19 03:05:59,632 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore' 2024-01-19 03:05:59,633 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml' 2024-01-19 03:05:59,633 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk' 2024-01-19 03:05:59,634 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile' 2024-01-19 03:05:59,635 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl' 2024-01-19 03:05:59,636 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile' 2024-01-19 03:05:59,637 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl' 2024-01-19 03:05:59,638 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile' 2024-01-19 03:05:59,639 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl' 2024-01-19 03:05:59,640 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile' 2024-01-19 03:05:59,641 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl' 2024-01-19 03:05:59,642 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile' 2024-01-19 03:05:59,643 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl' 2024-01-19 03:05:59,644 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile' 2024-01-19 03:05:59,645 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl' 2024-01-19 03:05:59,646 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile' 2024-01-19 03:05:59,647 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl' 2024-01-19 03:05:59,648 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile' 2024-01-19 03:05:59,649 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl' 2024-01-19 03:05:59,650 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile' 2024-01-19 03:05:59,651 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj' 2024-01-19 03:05:59,652 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj' 2024-01-19 03:05:59,653 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj' 2024-01-19 03:05:59,654 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl' 2024-01-19 03:05:59,655 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile' 2024-01-19 03:05:59,656 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl' 2024-01-19 03:05:59,657 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv' 2024-01-19 03:05:59,658 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv' 2024-01-19 03:05:59,659 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv' 2024-01-19 03:05:59,661 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore' 2024-01-19 03:05:59,662 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore' 2024-01-19 03:05:59,663 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile' 2024-01-19 03:05:59,664 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S' 2024-01-19 03:05:59,664 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py' 2024-01-19 03:05:59,665 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld' 2024-01-19 03:05:59,666 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore' 2024-01-19 03:05:59,667 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile' 2024-01-19 03:05:59,668 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md' 2024-01-19 03:05:59,669 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py' 2024-01-19 03:05:59,670 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds' 2024-01-19 03:05:59,671 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h' 2024-01-19 03:05:59,672 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S' 2024-01-19 03:05:59,673 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c' 2024-01-19 03:05:59,674 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h' 2024-01-19 03:05:59,675 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c' 2024-01-19 03:05:59,676 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c' 2024-01-19 03:05:59,676 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h' 2024-01-19 03:05:59,677 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h' 2024-01-19 03:05:59,678 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c' 2024-01-19 03:05:59,679 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h' 2024-01-19 03:05:59,680 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c' 2024-01-19 03:05:59,681 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h' 2024-01-19 03:05:59,682 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv' 2024-01-19 03:05:59,683 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv' 2024-01-19 03:05:59,684 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv' 2024-01-19 03:05:59,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp' 2024-01-19 03:05:59,686 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv' 2024-01-19 03:05:59,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv' 2024-01-19 03:05:59,689 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv' 2024-01-19 03:05:59,690 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv' 2024-01-19 03:05:59,691 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv' 2024-01-19 03:05:59,692 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv' 2024-01-19 03:05:59,693 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh' 2024-01-19 03:05:59,694 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv' 2024-01-19 03:05:59,695 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv' 2024-01-19 03:05:59,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv' 2024-01-19 03:05:59,697 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv' 2024-01-19 03:05:59,698 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh' 2024-01-19 03:05:59,699 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh' 2024-01-19 03:05:59,700 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv' 2024-01-19 03:05:59,701 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv' 2024-01-19 03:05:59,702 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv' 2024-01-19 03:05:59,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv' 2024-01-19 03:05:59,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv' 2024-01-19 03:05:59,705 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc' 2024-01-19 03:05:59,706 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc' 2024-01-19 03:05:59,707 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h' 2024-01-19 03:05:59,708 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc' 2024-01-19 03:05:59,709 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc' 2024-01-19 03:05:59,710 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc' 2024-01-19 03:05:59,711 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h' 2024-01-19 03:05:59,712 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc' 2024-01-19 03:05:59,713 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h' 2024-01-19 03:05:59,714 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc' 2024-01-19 03:05:59,715 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h' 2024-01-19 03:05:59,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc' 2024-01-19 03:05:59,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h' 2024-01-19 03:05:59,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore' 2024-01-19 03:05:59,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE' 2024-01-19 03:05:59,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in' 2024-01-19 03:05:59,720 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md' 2024-01-19 03:05:59,721 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4' 2024-01-19 03:05:59,723 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in' 2024-01-19 03:05:59,724 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure' 2024-01-19 03:05:59,728 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac' 2024-01-19 03:05:59,729 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in' 2024-01-19 03:05:59,730 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in' 2024-01-19 03:05:59,731 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in' 2024-01-19 03:05:59,731 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in' 2024-01-19 03:05:59,732 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in' 2024-01-19 03:05:59,733 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore' 2024-01-19 03:05:59,734 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile' 2024-01-19 03:05:59,735 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S' 2024-01-19 03:05:59,736 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h' 2024-01-19 03:05:59,737 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld' 2024-01-19 03:05:59,738 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac' 2024-01-19 03:05:59,739 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc' 2024-01-19 03:05:59,740 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in' 2024-01-19 03:05:59,741 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c' 2024-01-19 03:05:59,742 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h' 2024-01-19 03:05:59,743 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc' 2024-01-19 03:05:59,744 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h' 2024-01-19 03:05:59,745 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc' 2024-01-19 03:05:59,746 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h' 2024-01-19 03:05:59,747 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h' 2024-01-19 03:05:59,749 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc' 2024-01-19 03:05:59,750 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h' 2024-01-19 03:05:59,751 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h' 2024-01-19 03:05:59,752 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h' 2024-01-19 03:05:59,753 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc' 2024-01-19 03:05:59,754 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h' 2024-01-19 03:05:59,755 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h' 2024-01-19 03:05:59,755 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc' 2024-01-19 03:05:59,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h' 2024-01-19 03:05:59,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc' 2024-01-19 03:05:59,758 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h' 2024-01-19 03:05:59,760 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc' 2024-01-19 03:05:59,761 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc' 2024-01-19 03:05:59,762 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h' 2024-01-19 03:05:59,763 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc' 2024-01-19 03:05:59,764 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache' 2024-01-19 03:05:59,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc' 2024-01-19 03:05:59,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h' 2024-01-19 03:05:59,766 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc' 2024-01-19 03:05:59,767 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc' 2024-01-19 03:05:59,768 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h' 2024-01-19 03:05:59,769 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h' 2024-01-19 03:05:59,770 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc' 2024-01-19 03:05:59,771 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h' 2024-01-19 03:05:59,772 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h' 2024-01-19 03:05:59,773 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h' 2024-01-19 03:05:59,774 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc' 2024-01-19 03:05:59,776 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h' 2024-01-19 03:05:59,777 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc' 2024-01-19 03:05:59,777 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc' 2024-01-19 03:05:59,778 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h' 2024-01-19 03:05:59,779 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac' 2024-01-19 03:05:59,780 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in' 2024-01-19 03:05:59,781 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc' 2024-01-19 03:05:59,782 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h' 2024-01-19 03:05:59,783 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc' 2024-01-19 03:05:59,784 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc' 2024-01-19 03:05:59,785 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h' 2024-01-19 03:05:59,786 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h' 2024-01-19 03:05:59,786 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h' 2024-01-19 03:05:59,787 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc' 2024-01-19 03:05:59,788 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h' 2024-01-19 03:05:59,789 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc' 2024-01-19 03:05:59,791 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h' 2024-01-19 03:05:59,792 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h' 2024-01-19 03:05:59,793 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h' 2024-01-19 03:05:59,794 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h' 2024-01-19 03:05:59,795 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h' 2024-01-19 03:05:59,795 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h' 2024-01-19 03:05:59,796 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h' 2024-01-19 03:05:59,797 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h' 2024-01-19 03:05:59,798 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h' 2024-01-19 03:05:59,799 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h' 2024-01-19 03:05:59,800 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h' 2024-01-19 03:05:59,801 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h' 2024-01-19 03:05:59,801 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h' 2024-01-19 03:05:59,802 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h' 2024-01-19 03:05:59,803 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h' 2024-01-19 03:05:59,804 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h' 2024-01-19 03:05:59,805 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h' 2024-01-19 03:05:59,806 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h' 2024-01-19 03:05:59,807 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h' 2024-01-19 03:05:59,807 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h' 2024-01-19 03:05:59,808 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h' 2024-01-19 03:05:59,809 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h' 2024-01-19 03:05:59,810 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h' 2024-01-19 03:05:59,811 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h' 2024-01-19 03:05:59,812 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h' 2024-01-19 03:05:59,812 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h' 2024-01-19 03:05:59,813 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h' 2024-01-19 03:05:59,814 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h' 2024-01-19 03:05:59,815 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h' 2024-01-19 03:05:59,816 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h' 2024-01-19 03:05:59,817 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h' 2024-01-19 03:05:59,818 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h' 2024-01-19 03:05:59,818 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h' 2024-01-19 03:05:59,819 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h' 2024-01-19 03:05:59,820 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h' 2024-01-19 03:05:59,821 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h' 2024-01-19 03:05:59,822 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h' 2024-01-19 03:05:59,823 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h' 2024-01-19 03:05:59,824 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h' 2024-01-19 03:05:59,824 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h' 2024-01-19 03:05:59,825 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h' 2024-01-19 03:05:59,826 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h' 2024-01-19 03:05:59,827 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h' 2024-01-19 03:05:59,828 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h' 2024-01-19 03:05:59,829 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h' 2024-01-19 03:05:59,830 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h' 2024-01-19 03:05:59,831 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h' 2024-01-19 03:05:59,831 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h' 2024-01-19 03:05:59,832 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h' 2024-01-19 03:05:59,833 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h' 2024-01-19 03:05:59,834 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h' 2024-01-19 03:05:59,835 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h' 2024-01-19 03:05:59,836 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h' 2024-01-19 03:05:59,836 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h' 2024-01-19 03:05:59,837 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h' 2024-01-19 03:05:59,838 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h' 2024-01-19 03:05:59,839 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h' 2024-01-19 03:05:59,840 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h' 2024-01-19 03:05:59,841 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h' 2024-01-19 03:05:59,841 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h' 2024-01-19 03:05:59,842 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h' 2024-01-19 03:05:59,843 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h' 2024-01-19 03:05:59,844 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h' 2024-01-19 03:05:59,845 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h' 2024-01-19 03:05:59,846 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h' 2024-01-19 03:05:59,847 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h' 2024-01-19 03:05:59,847 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h' 2024-01-19 03:05:59,849 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h' 2024-01-19 03:05:59,850 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h' 2024-01-19 03:05:59,851 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h' 2024-01-19 03:05:59,852 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h' 2024-01-19 03:05:59,852 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h' 2024-01-19 03:05:59,853 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h' 2024-01-19 03:05:59,854 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h' 2024-01-19 03:05:59,855 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h' 2024-01-19 03:05:59,856 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h' 2024-01-19 03:05:59,857 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h' 2024-01-19 03:05:59,858 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h' 2024-01-19 03:05:59,858 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h' 2024-01-19 03:05:59,859 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h' 2024-01-19 03:05:59,860 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h' 2024-01-19 03:05:59,861 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h' 2024-01-19 03:05:59,862 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h' 2024-01-19 03:05:59,863 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h' 2024-01-19 03:05:59,864 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h' 2024-01-19 03:05:59,864 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h' 2024-01-19 03:05:59,865 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h' 2024-01-19 03:05:59,866 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h' 2024-01-19 03:05:59,867 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h' 2024-01-19 03:05:59,868 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h' 2024-01-19 03:05:59,869 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h' 2024-01-19 03:05:59,870 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h' 2024-01-19 03:05:59,870 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h' 2024-01-19 03:05:59,871 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h' 2024-01-19 03:05:59,872 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h' 2024-01-19 03:05:59,873 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h' 2024-01-19 03:05:59,874 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h' 2024-01-19 03:05:59,875 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h' 2024-01-19 03:05:59,875 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h' 2024-01-19 03:05:59,876 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h' 2024-01-19 03:05:59,877 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h' 2024-01-19 03:05:59,878 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h' 2024-01-19 03:05:59,879 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h' 2024-01-19 03:05:59,880 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h' 2024-01-19 03:05:59,881 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h' 2024-01-19 03:05:59,881 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h' 2024-01-19 03:05:59,882 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h' 2024-01-19 03:05:59,883 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h' 2024-01-19 03:05:59,884 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h' 2024-01-19 03:05:59,885 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h' 2024-01-19 03:05:59,886 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h' 2024-01-19 03:05:59,887 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h' 2024-01-19 03:05:59,887 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h' 2024-01-19 03:05:59,888 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h' 2024-01-19 03:05:59,889 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h' 2024-01-19 03:05:59,890 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h' 2024-01-19 03:05:59,891 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h' 2024-01-19 03:05:59,892 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h' 2024-01-19 03:05:59,893 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h' 2024-01-19 03:05:59,893 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h' 2024-01-19 03:05:59,894 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h' 2024-01-19 03:05:59,895 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h' 2024-01-19 03:05:59,896 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h' 2024-01-19 03:05:59,897 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h' 2024-01-19 03:05:59,898 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h' 2024-01-19 03:05:59,898 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h' 2024-01-19 03:05:59,899 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h' 2024-01-19 03:05:59,900 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h' 2024-01-19 03:05:59,901 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h' 2024-01-19 03:05:59,902 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h' 2024-01-19 03:05:59,903 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h' 2024-01-19 03:05:59,904 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h' 2024-01-19 03:05:59,904 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h' 2024-01-19 03:05:59,905 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h' 2024-01-19 03:05:59,906 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h' 2024-01-19 03:05:59,907 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h' 2024-01-19 03:05:59,908 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h' 2024-01-19 03:05:59,909 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h' 2024-01-19 03:05:59,910 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h' 2024-01-19 03:05:59,910 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h' 2024-01-19 03:05:59,911 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h' 2024-01-19 03:05:59,912 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h' 2024-01-19 03:05:59,913 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h' 2024-01-19 03:05:59,914 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h' 2024-01-19 03:05:59,915 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h' 2024-01-19 03:05:59,915 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h' 2024-01-19 03:05:59,916 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h' 2024-01-19 03:05:59,917 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h' 2024-01-19 03:05:59,918 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h' 2024-01-19 03:05:59,919 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h' 2024-01-19 03:05:59,920 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h' 2024-01-19 03:05:59,921 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h' 2024-01-19 03:05:59,921 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h' 2024-01-19 03:05:59,922 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h' 2024-01-19 03:05:59,923 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h' 2024-01-19 03:05:59,924 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h' 2024-01-19 03:05:59,925 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h' 2024-01-19 03:05:59,926 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h' 2024-01-19 03:05:59,927 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h' 2024-01-19 03:05:59,927 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h' 2024-01-19 03:05:59,928 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h' 2024-01-19 03:05:59,929 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h' 2024-01-19 03:05:59,930 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h' 2024-01-19 03:05:59,931 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h' 2024-01-19 03:05:59,932 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h' 2024-01-19 03:05:59,933 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h' 2024-01-19 03:05:59,933 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h' 2024-01-19 03:05:59,934 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h' 2024-01-19 03:05:59,935 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h' 2024-01-19 03:05:59,936 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h' 2024-01-19 03:05:59,937 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h' 2024-01-19 03:05:59,938 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h' 2024-01-19 03:05:59,938 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h' 2024-01-19 03:05:59,939 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h' 2024-01-19 03:05:59,940 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h' 2024-01-19 03:05:59,941 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h' 2024-01-19 03:05:59,942 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h' 2024-01-19 03:05:59,943 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h' 2024-01-19 03:05:59,944 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h' 2024-01-19 03:05:59,944 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h' 2024-01-19 03:05:59,945 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h' 2024-01-19 03:05:59,946 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h' 2024-01-19 03:05:59,947 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h' 2024-01-19 03:05:59,948 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h' 2024-01-19 03:05:59,949 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h' 2024-01-19 03:05:59,949 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h' 2024-01-19 03:05:59,950 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h' 2024-01-19 03:05:59,951 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h' 2024-01-19 03:05:59,952 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h' 2024-01-19 03:05:59,953 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h' 2024-01-19 03:05:59,954 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h' 2024-01-19 03:05:59,955 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h' 2024-01-19 03:05:59,955 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h' 2024-01-19 03:05:59,956 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h' 2024-01-19 03:05:59,957 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h' 2024-01-19 03:05:59,958 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h' 2024-01-19 03:05:59,959 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h' 2024-01-19 03:05:59,960 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h' 2024-01-19 03:05:59,960 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h' 2024-01-19 03:05:59,961 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h' 2024-01-19 03:05:59,962 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h' 2024-01-19 03:05:59,963 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h' 2024-01-19 03:05:59,964 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h' 2024-01-19 03:05:59,965 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h' 2024-01-19 03:05:59,966 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h' 2024-01-19 03:05:59,966 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h' 2024-01-19 03:05:59,967 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h' 2024-01-19 03:05:59,968 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h' 2024-01-19 03:05:59,969 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h' 2024-01-19 03:05:59,970 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h' 2024-01-19 03:05:59,971 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h' 2024-01-19 03:05:59,972 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h' 2024-01-19 03:05:59,972 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h' 2024-01-19 03:05:59,973 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h' 2024-01-19 03:05:59,974 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h' 2024-01-19 03:05:59,975 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h' 2024-01-19 03:05:59,976 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h' 2024-01-19 03:05:59,977 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h' 2024-01-19 03:05:59,977 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h' 2024-01-19 03:05:59,978 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h' 2024-01-19 03:05:59,979 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h' 2024-01-19 03:05:59,980 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h' 2024-01-19 03:05:59,981 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h' 2024-01-19 03:05:59,982 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h' 2024-01-19 03:05:59,983 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h' 2024-01-19 03:05:59,983 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h' 2024-01-19 03:05:59,984 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h' 2024-01-19 03:05:59,985 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h' 2024-01-19 03:05:59,986 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess' 2024-01-19 03:05:59,988 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub' 2024-01-19 03:05:59,990 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh' 2024-01-19 03:05:59,991 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh' 2024-01-19 03:05:59,991 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh' 2024-01-19 03:05:59,994 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c' 2024-01-19 03:05:59,995 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c' 2024-01-19 03:05:59,996 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c' 2024-01-19 03:05:59,997 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c' 2024-01-19 03:05:59,997 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c' 2024-01-19 03:05:59,998 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c' 2024-01-19 03:05:59,999 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c' 2024-01-19 03:06:00,000 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c' 2024-01-19 03:06:00,001 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c' 2024-01-19 03:06:00,002 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c' 2024-01-19 03:06:00,003 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c' 2024-01-19 03:06:00,004 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c' 2024-01-19 03:06:00,005 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c' 2024-01-19 03:06:00,006 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c' 2024-01-19 03:06:00,007 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c' 2024-01-19 03:06:00,008 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c' 2024-01-19 03:06:00,009 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c' 2024-01-19 03:06:00,010 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c' 2024-01-19 03:06:00,011 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c' 2024-01-19 03:06:00,012 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c' 2024-01-19 03:06:00,013 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c' 2024-01-19 03:06:00,014 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c' 2024-01-19 03:06:00,015 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c' 2024-01-19 03:06:00,016 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c' 2024-01-19 03:06:00,017 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c' 2024-01-19 03:06:00,018 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c' 2024-01-19 03:06:00,019 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c' 2024-01-19 03:06:00,020 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c' 2024-01-19 03:06:00,021 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c' 2024-01-19 03:06:00,021 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c' 2024-01-19 03:06:00,022 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c' 2024-01-19 03:06:00,023 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c' 2024-01-19 03:06:00,024 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c' 2024-01-19 03:06:00,025 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c' 2024-01-19 03:06:00,026 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c' 2024-01-19 03:06:00,027 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c' 2024-01-19 03:06:00,028 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c' 2024-01-19 03:06:00,029 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c' 2024-01-19 03:06:00,030 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c' 2024-01-19 03:06:00,031 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c' 2024-01-19 03:06:00,032 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c' 2024-01-19 03:06:00,033 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c' 2024-01-19 03:06:00,034 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c' 2024-01-19 03:06:00,034 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c' 2024-01-19 03:06:00,035 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c' 2024-01-19 03:06:00,036 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c' 2024-01-19 03:06:00,037 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c' 2024-01-19 03:06:00,038 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c' 2024-01-19 03:06:00,039 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c' 2024-01-19 03:06:00,040 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c' 2024-01-19 03:06:00,041 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c' 2024-01-19 03:06:00,042 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c' 2024-01-19 03:06:00,043 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c' 2024-01-19 03:06:00,044 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c' 2024-01-19 03:06:00,045 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c' 2024-01-19 03:06:00,046 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c' 2024-01-19 03:06:00,047 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c' 2024-01-19 03:06:00,047 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c' 2024-01-19 03:06:00,048 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c' 2024-01-19 03:06:00,049 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c' 2024-01-19 03:06:00,050 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c' 2024-01-19 03:06:00,051 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c' 2024-01-19 03:06:00,052 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c' 2024-01-19 03:06:00,053 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c' 2024-01-19 03:06:00,054 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c' 2024-01-19 03:06:00,055 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c' 2024-01-19 03:06:00,056 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c' 2024-01-19 03:06:00,057 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c' 2024-01-19 03:06:00,058 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c' 2024-01-19 03:06:00,058 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c' 2024-01-19 03:06:00,059 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c' 2024-01-19 03:06:00,060 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c' 2024-01-19 03:06:00,061 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c' 2024-01-19 03:06:00,062 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c' 2024-01-19 03:06:00,063 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c' 2024-01-19 03:06:00,064 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c' 2024-01-19 03:06:00,065 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c' 2024-01-19 03:06:00,066 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c' 2024-01-19 03:06:00,067 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c' 2024-01-19 03:06:00,068 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c' 2024-01-19 03:06:00,069 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c' 2024-01-19 03:06:00,070 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c' 2024-01-19 03:06:00,071 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c' 2024-01-19 03:06:00,072 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c' 2024-01-19 03:06:00,072 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c' 2024-01-19 03:06:00,073 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c' 2024-01-19 03:06:00,074 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c' 2024-01-19 03:06:00,075 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c' 2024-01-19 03:06:00,076 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c' 2024-01-19 03:06:00,077 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c' 2024-01-19 03:06:00,078 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c' 2024-01-19 03:06:00,079 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c' 2024-01-19 03:06:00,080 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c' 2024-01-19 03:06:00,081 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c' 2024-01-19 03:06:00,082 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c' 2024-01-19 03:06:00,083 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c' 2024-01-19 03:06:00,084 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c' 2024-01-19 03:06:00,085 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c' 2024-01-19 03:06:00,086 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c' 2024-01-19 03:06:00,086 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c' 2024-01-19 03:06:00,087 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c' 2024-01-19 03:06:00,088 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c' 2024-01-19 03:06:00,089 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c' 2024-01-19 03:06:00,090 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c' 2024-01-19 03:06:00,091 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c' 2024-01-19 03:06:00,092 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c' 2024-01-19 03:06:00,093 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c' 2024-01-19 03:06:00,094 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c' 2024-01-19 03:06:00,095 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c' 2024-01-19 03:06:00,096 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c' 2024-01-19 03:06:00,097 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c' 2024-01-19 03:06:00,098 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c' 2024-01-19 03:06:00,099 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c' 2024-01-19 03:06:00,099 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c' 2024-01-19 03:06:00,100 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c' 2024-01-19 03:06:00,101 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h' 2024-01-19 03:06:00,102 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h' 2024-01-19 03:06:00,103 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h' 2024-01-19 03:06:00,104 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h' 2024-01-19 03:06:00,106 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c' 2024-01-19 03:06:00,107 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c' 2024-01-19 03:06:00,108 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c' 2024-01-19 03:06:00,109 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c' 2024-01-19 03:06:00,110 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c' 2024-01-19 03:06:00,111 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c' 2024-01-19 03:06:00,112 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c' 2024-01-19 03:06:00,112 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c' 2024-01-19 03:06:00,113 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c' 2024-01-19 03:06:00,114 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c' 2024-01-19 03:06:00,115 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c' 2024-01-19 03:06:00,116 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c' 2024-01-19 03:06:00,117 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c' 2024-01-19 03:06:00,118 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c' 2024-01-19 03:06:00,119 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c' 2024-01-19 03:06:00,120 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c' 2024-01-19 03:06:00,121 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c' 2024-01-19 03:06:00,122 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c' 2024-01-19 03:06:00,122 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c' 2024-01-19 03:06:00,123 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c' 2024-01-19 03:06:00,124 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c' 2024-01-19 03:06:00,125 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c' 2024-01-19 03:06:00,126 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c' 2024-01-19 03:06:00,127 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c' 2024-01-19 03:06:00,128 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c' 2024-01-19 03:06:00,129 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c' 2024-01-19 03:06:00,129 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c' 2024-01-19 03:06:00,130 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c' 2024-01-19 03:06:00,131 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c' 2024-01-19 03:06:00,132 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c' 2024-01-19 03:06:00,133 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c' 2024-01-19 03:06:00,134 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c' 2024-01-19 03:06:00,135 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c' 2024-01-19 03:06:00,136 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c' 2024-01-19 03:06:00,137 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c' 2024-01-19 03:06:00,137 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c' 2024-01-19 03:06:00,138 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c' 2024-01-19 03:06:00,140 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c' 2024-01-19 03:06:00,141 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c' 2024-01-19 03:06:00,142 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c' 2024-01-19 03:06:00,143 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c' 2024-01-19 03:06:00,144 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c' 2024-01-19 03:06:00,145 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c' 2024-01-19 03:06:00,146 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c' 2024-01-19 03:06:00,147 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c' 2024-01-19 03:06:00,147 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c' 2024-01-19 03:06:00,148 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c' 2024-01-19 03:06:00,149 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c' 2024-01-19 03:06:00,150 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c' 2024-01-19 03:06:00,151 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c' 2024-01-19 03:06:00,152 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c' 2024-01-19 03:06:00,153 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c' 2024-01-19 03:06:00,154 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c' 2024-01-19 03:06:00,155 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c' 2024-01-19 03:06:00,156 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c' 2024-01-19 03:06:00,156 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c' 2024-01-19 03:06:00,157 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c' 2024-01-19 03:06:00,158 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c' 2024-01-19 03:06:00,159 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c' 2024-01-19 03:06:00,160 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c' 2024-01-19 03:06:00,161 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c' 2024-01-19 03:06:00,163 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c' 2024-01-19 03:06:00,164 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c' 2024-01-19 03:06:00,165 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c' 2024-01-19 03:06:00,166 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c' 2024-01-19 03:06:00,167 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c' 2024-01-19 03:06:00,168 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c' 2024-01-19 03:06:00,168 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c' 2024-01-19 03:06:00,169 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c' 2024-01-19 03:06:00,170 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c' 2024-01-19 03:06:00,171 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c' 2024-01-19 03:06:00,172 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c' 2024-01-19 03:06:00,173 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c' 2024-01-19 03:06:00,174 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c' 2024-01-19 03:06:00,175 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c' 2024-01-19 03:06:00,176 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c' 2024-01-19 03:06:00,177 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c' 2024-01-19 03:06:00,178 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c' 2024-01-19 03:06:00,178 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c' 2024-01-19 03:06:00,179 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c' 2024-01-19 03:06:00,180 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c' 2024-01-19 03:06:00,181 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c' 2024-01-19 03:06:00,182 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c' 2024-01-19 03:06:00,183 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c' 2024-01-19 03:06:00,184 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c' 2024-01-19 03:06:00,185 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c' 2024-01-19 03:06:00,186 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c' 2024-01-19 03:06:00,186 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c' 2024-01-19 03:06:00,187 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c' 2024-01-19 03:06:00,188 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c' 2024-01-19 03:06:00,189 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c' 2024-01-19 03:06:00,190 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c' 2024-01-19 03:06:00,191 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c' 2024-01-19 03:06:00,192 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac' 2024-01-19 03:06:00,193 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h' 2024-01-19 03:06:00,194 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in' 2024-01-19 03:06:00,195 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c' 2024-01-19 03:06:00,196 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c' 2024-01-19 03:06:00,197 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h' 2024-01-19 03:06:00,198 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h' 2024-01-19 03:06:00,199 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c' 2024-01-19 03:06:00,200 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c' 2024-01-19 03:06:00,201 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c' 2024-01-19 03:06:00,202 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c' 2024-01-19 03:06:00,203 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c' 2024-01-19 03:06:00,204 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c' 2024-01-19 03:06:00,205 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c' 2024-01-19 03:06:00,206 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c' 2024-01-19 03:06:00,207 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc' 2024-01-19 03:06:00,208 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc' 2024-01-19 03:06:00,209 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc' 2024-01-19 03:06:00,210 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac' 2024-01-19 03:06:00,211 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in' 2024-01-19 03:06:00,212 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc' 2024-01-19 03:06:00,212 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc' 2024-01-19 03:06:00,213 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py' 2024-01-19 03:06:00,214 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s' 2024-01-19 03:06:00,215 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py' 2024-01-19 03:06:00,216 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore' 2024-01-19 03:06:00,217 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile' 2024-01-19 03:06:00,218 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list' 2024-01-19 03:06:00,219 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do' 2024-01-19 03:06:00,220 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv' 2024-01-19 03:06:00,221 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv' 2024-01-19 03:06:00,222 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv' 2024-01-19 03:06:00,223 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv' 2024-01-19 03:06:00,224 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore' 2024-01-19 03:06:00,225 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile' 2024-01-19 03:06:00,226 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list' 2024-01-19 03:06:00,227 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv' 2024-01-19 03:06:00,228 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv' 2024-01-19 03:06:00,229 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv' 2024-01-19 03:06:00,230 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv' 2024-01-19 03:06:00,231 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv' 2024-01-19 03:06:00,231 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv' 2024-01-19 03:06:00,233 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore' 2024-01-19 03:06:00,233 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile' 2024-01-19 03:06:00,234 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list' 2024-01-19 03:06:00,235 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do' 2024-01-19 03:06:00,236 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv' 2024-01-19 03:06:00,238 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore' 2024-01-19 03:06:00,239 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile' 2024-01-19 03:06:00,240 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list' 2024-01-19 03:06:00,241 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do' 2024-01-19 03:06:00,242 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv' 2024-01-19 03:06:00,244 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore' 2024-01-19 03:06:00,245 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile' 2024-01-19 03:06:00,245 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list' 2024-01-19 03:06:00,246 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do' 2024-01-19 03:06:00,248 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv' 2024-01-19 03:06:00,249 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv' 2024-01-19 03:06:00,251 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do' 2024-01-19 03:06:00,252 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/.gitignore' 2024-01-19 03:06:00,253 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/Makefile' 2024-01-19 03:06:00,253 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst' 2024-01-19 03:06:00,254 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/conf.py' 2024-01-19 03:06:00,255 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst' 2024-01-19 03:06:00,256 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/ex_stage.md' 2024-01-19 03:06:00,257 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/id_stage.md' 2024-01-19 03:06:00,258 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/if_stage.md' 2024-01-19 03:06:00,259 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/index.rst' 2024-01-19 03:06:00,260 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/intro.rst' 2024-01-19 03:06:00,261 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/issue_stage.md' 2024-01-19 03:06:00,262 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/make.bat' 2024-01-19 03:06:00,263 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md' 2024-01-19 03:06:00,264 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/requirements.txt' 2024-01-19 03:06:00,267 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf' 2024-01-19 03:06:00,284 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png' 2024-01-19 03:06:00,290 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg' 2024-01-19 03:06:00,305 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf' 2024-01-19 03:06:00,319 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png' 2024-01-19 03:06:00,321 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf' 2024-01-19 03:06:00,328 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png' 2024-01-19 03:06:00,332 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf' 2024-01-19 03:06:00,347 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png' 2024-01-19 03:06:00,353 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png' 2024-01-19 03:06:00,355 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png' 2024-01-19 03:06:00,356 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg' 2024-01-19 03:06:00,358 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png' 2024-01-19 03:06:00,361 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf' 2024-01-19 03:06:00,375 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png' 2024-01-19 03:06:00,382 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf' 2024-01-19 03:06:00,396 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png' 2024-01-19 03:06:00,403 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg' 2024-01-19 03:06:00,406 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf' 2024-01-19 03:06:00,422 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png' 2024-01-19 03:06:00,432 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai' 2024-01-19 03:06:00,464 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png' 2024-01-19 03:06:00,465 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore' 2024-01-19 03:06:00,466 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile' 2024-01-19 03:06:00,467 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat' 2024-01-19 03:06:00,468 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt' 2024-01-19 03:06:00,469 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png' 2024-01-19 03:06:00,471 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png' 2024-01-19 03:06:00,475 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png' 2024-01-19 03:06:00,478 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png' 2024-01-19 03:06:00,480 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png' 2024-01-19 03:06:00,481 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg' 2024-01-19 03:06:00,482 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png' 2024-01-19 03:06:00,484 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst' 2024-01-19 03:06:00,487 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml' 2024-01-19 03:06:00,492 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py' 2024-01-19 03:06:00,493 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst' 2024-01-19 03:06:00,494 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst' 2024-01-19 03:06:00,495 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst' 2024-01-19 03:06:00,496 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst' 2024-01-19 03:06:00,497 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst' 2024-01-19 03:06:00,498 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst' 2024-01-19 03:06:00,500 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png' 2024-01-19 03:06:00,503 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc' 2024-01-19 03:06:00,504 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore' 2024-01-19 03:06:00,505 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/Makefile' 2024-01-19 03:06:00,505 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl' 2024-01-19 03:06:00,506 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl' 2024-01-19 03:06:00,507 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv' 2024-01-19 03:06:00,508 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl' 2024-01-19 03:06:00,509 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl' 2024-01-19 03:06:00,510 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py' 2024-01-19 03:06:00,511 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py' 2024-01-19 03:06:00,513 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/LICENSE' 2024-01-19 03:06:00,513 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/METADATA' 2024-01-19 03:06:00,514 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/WHEEL' 2024-01-19 03:06:00,515 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/top_level.txt' 2024-01-19 03:06:00,545 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/RECORD' 2024-01-19 03:06:00,567 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:00,614 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-ibex 2024-01-19 03:06:01,699 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:01,951 root INFO running bdist_wheel 2024-01-19 03:06:02,027 root INFO running build 2024-01-19 03:06:02,027 root INFO running build_py 2024-01-19 03:06:02,038 root INFO creating build 2024-01-19 03:06:02,039 root INFO creating build/lib 2024-01-19 03:06:02,039 root INFO creating build/lib/pythondata_cpu_ibex 2024-01-19 03:06:02,039 root INFO copying pythondata_cpu_ibex/__init__.py -> build/lib/pythondata_cpu_ibex 2024-01-19 03:06:02,042 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,042 root INFO copying pythondata_cpu_ibex/system_verilog/tool_requirements.py -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,044 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:02,045 root INFO copying pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:02,046 root INFO copying pythondata_cpu_ibex/system_verilog/util/ibex_config.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:02,047 root INFO copying pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:02,049 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:02,050 root INFO copying pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:02,052 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:02,052 root INFO copying pythondata_cpu_ibex/system_verilog/doc/conf.py -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:02,061 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv 2024-01-19 03:06:02,061 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 03:06:02,061 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,062 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,063 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,064 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,064 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,065 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,066 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,067 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,068 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,069 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:02,077 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:02,077 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:02,083 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:02,084 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:02,084 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:02,085 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:02,086 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:02,092 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip 2024-01-19 03:06:02,092 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 03:06:02,093 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools 2024-01-19 03:06:02,093 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 03:06:02,094 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 03:06:02,095 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:02,095 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:02,096 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:02,097 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:02,101 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip 2024-01-19 03:06:02,102 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:02,102 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 03:06:02,103 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 03:06:02,108 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 03:06:02,108 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:02,109 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:02,110 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:02,111 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:02,111 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:02,121 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util 2024-01-19 03:06:02,122 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,122 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,123 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,124 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,125 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,125 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,126 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,127 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,128 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,129 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,130 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,131 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,131 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,132 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,133 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,134 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,135 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,136 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,137 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,137 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,138 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,139 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,140 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,140 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,141 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,142 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:02,144 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:02,144 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:02,145 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:02,145 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:02,146 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:02,153 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv 2024-01-19 03:06:02,153 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:02,154 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:02,154 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:02,162 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,162 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,163 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,164 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,164 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,165 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,166 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,167 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,167 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,168 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,169 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:02,176 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen 2024-01-19 03:06:02,176 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,177 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,177 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,178 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,179 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,180 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,181 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,181 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,182 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,183 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,184 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,185 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,186 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,186 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,187 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,188 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,189 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,190 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,190 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:02,192 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,192 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,193 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,194 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,195 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,196 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,196 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,197 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,198 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,199 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,200 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:02,202 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,202 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,203 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,204 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,206 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,207 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,208 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,208 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,209 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,210 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,211 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,212 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,212 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,213 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:02,214 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:02,215 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:02,216 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:02,217 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:02,218 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target 2024-01-19 03:06:02,218 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 03:06:02,218 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 03:06:02,220 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 03:06:02,220 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 03:06:02,221 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 03:06:02,222 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 03:06:02,223 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 03:06:02,223 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 03:06:02,224 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 03:06:02,225 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 03:06:02,226 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 03:06:02,227 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:02,227 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:02,228 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:02,229 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:02,229 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:02,230 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:02,231 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:02,240 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,241 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:02,241 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:02,242 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:02,243 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:02,244 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:02,260 root INFO running egg_info 2024-01-19 03:06:02,260 root INFO creating pythondata_cpu_ibex.egg-info 2024-01-19 03:06:02,267 root INFO writing pythondata_cpu_ibex.egg-info/PKG-INFO 2024-01-19 03:06:02,269 root INFO writing dependency_links to pythondata_cpu_ibex.egg-info/dependency_links.txt 2024-01-19 03:06:02,271 root INFO writing top-level names to pythondata_cpu_ibex.egg-info/top_level.txt 2024-01-19 03:06:02,272 root INFO writing manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-01-19 03:06:02,448 root INFO reading manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-01-19 03:06:02,449 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:02,551 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:02,552 root INFO adding license file 'LICENSE' 2024-01-19 03:06:02,663 root INFO writing manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-01-19 03:06:02,931 root INFO copying pythondata_cpu_ibex/system_verilog/.clang-format -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,931 root INFO copying pythondata_cpu_ibex/system_verilog/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,932 root INFO copying pythondata_cpu_ibex/system_verilog/.svlint.toml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,933 root INFO copying pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,934 root INFO copying pythondata_cpu_ibex/system_verilog/CREDITS.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,934 root INFO copying pythondata_cpu_ibex/system_verilog/LICENSE -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,935 root INFO copying pythondata_cpu_ibex/system_verilog/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,936 root INFO copying pythondata_cpu_ibex/system_verilog/README.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,937 root INFO copying pythondata_cpu_ibex/system_verilog/azure-pipelines.yml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,938 root INFO copying pythondata_cpu_ibex/system_verilog/check_tool_requirements.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,938 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_configs.yaml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,939 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_core.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,940 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_icache.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,941 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_multdiv.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,941 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,942 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_top.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,943 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,944 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_tracer.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,944 root INFO copying pythondata_cpu_ibex/system_verilog/python-requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,945 root INFO copying pythondata_cpu_ibex/system_verilog/src_files.yml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:02,946 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github 2024-01-19 03:06:02,946 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:06:02,947 root INFO copying pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:06:02,947 root INFO copying pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:06:02,948 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 03:06:02,948 root INFO copying pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml -> build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 03:06:02,949 root INFO copying pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml -> build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 03:06:02,950 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 03:06:02,950 root INFO copying pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw -> build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 03:06:02,951 root INFO copying pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 03:06:02,952 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 03:06:02,952 root INFO copying pythondata_cpu_ibex/system_verilog/formal/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 03:06:02,953 root INFO copying pythondata_cpu_ibex/system_verilog/util/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:02,954 root INFO copying pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:02,954 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,955 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,956 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,956 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,957 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,958 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,959 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,960 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,960 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,961 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,962 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,963 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,964 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,964 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,965 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,966 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,967 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,968 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,968 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,969 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,970 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,971 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,971 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,972 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,973 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,974 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,974 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,975 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,976 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,977 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,978 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,978 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:02,979 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:02,980 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:02,981 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:02,981 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:02,982 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:02,983 root INFO copying pythondata_cpu_ibex/system_verilog/ci/azp-private.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:02,984 root INFO copying pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:02,984 root INFO copying pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:02,985 root INFO copying pythondata_cpu_ibex/system_verilog/ci/vars.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:02,986 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 03:06:02,986 root INFO copying pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core -> build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 03:06:02,987 root INFO copying pythondata_cpu_ibex/system_verilog/shared/sim_shared.core -> build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 03:06:02,988 root INFO copying pythondata_cpu_ibex/system_verilog/syn/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,989 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,989 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,990 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,991 root INFO copying pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,992 root INFO copying pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,992 root INFO copying pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,993 root INFO copying pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,994 root INFO copying pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:02,994 root INFO copying pythondata_cpu_ibex/system_verilog/doc/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:02,995 root INFO copying pythondata_cpu_ibex/system_verilog/doc/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:02,996 root INFO copying pythondata_cpu_ibex/system_verilog/doc/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:02,997 root INFO copying pythondata_cpu_ibex/system_verilog/doc/make.bat -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:02,998 root INFO copying pythondata_cpu_ibex/system_verilog/doc/requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:02,998 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 03:06:02,999 root INFO copying pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 03:06:02,999 root INFO copying pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 03:06:03,000 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:03,001 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:03,001 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:03,002 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:03,003 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:03,004 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2 -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:03,004 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,005 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,006 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,006 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,007 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,008 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,008 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,009 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,010 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,011 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,011 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,012 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,013 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,014 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,015 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,015 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,016 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,017 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,017 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,018 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,019 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,020 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2 -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:03,020 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:03,021 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:03,022 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:03,022 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:03,023 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:03,023 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:03,024 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:03,025 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:03,026 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 03:06:03,026 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,027 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,028 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,028 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,029 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,030 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,031 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,031 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,032 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:03,033 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 03:06:03,033 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 03:06:03,034 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 03:06:03,034 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 03:06:03,035 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 03:06:03,036 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 03:06:03,036 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 03:06:03,037 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,037 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,038 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,039 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,040 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,040 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,041 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,042 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:03,043 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:03,043 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:03,044 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:03,045 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:03,045 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:03,046 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 03:06:03,046 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 03:06:03,047 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 03:06:03,048 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:03,048 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:03,049 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:03,050 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:03,051 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:03,051 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,052 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,052 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,053 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,054 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,055 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,055 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,056 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:03,057 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:03,058 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:03,058 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:03,059 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:03,060 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:03,061 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:03,061 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:03,062 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:03,063 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:03,063 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache 2024-01-19 03:06:03,064 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:03,064 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:03,065 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:03,066 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:03,066 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 03:06:03,067 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 03:06:03,067 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 03:06:03,068 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 03:06:03,069 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 03:06:03,070 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,070 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,071 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,072 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,072 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,073 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,074 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,075 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:03,075 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,076 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,076 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,077 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,078 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,079 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,079 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,080 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,081 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,082 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,082 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,083 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:03,084 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:03,084 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:03,085 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:03,086 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:03,086 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:03,087 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 03:06:03,088 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 03:06:03,088 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 03:06:03,089 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,089 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,090 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,091 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,092 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,092 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,093 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,094 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,095 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,095 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,096 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,097 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,098 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,098 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,099 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:03,100 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,100 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,101 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,102 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,103 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,103 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,104 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,105 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,106 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,106 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,107 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,108 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,109 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,109 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,110 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,111 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:03,112 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:03,112 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:03,113 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:03,114 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:03,114 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,115 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,115 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,116 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,117 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,118 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,118 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,119 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,120 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,121 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,121 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,122 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:03,123 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 03:06:03,123 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 03:06:03,124 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 03:06:03,125 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:03,125 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:03,126 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:03,127 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:03,127 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:03,128 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:03,129 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:03,129 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:03,130 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,131 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,131 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,132 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,133 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,134 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,134 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,135 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,136 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:03,136 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:03,137 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:03,138 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:03,138 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:03,139 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:03,140 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:03,141 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:03,141 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 03:06:03,142 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 03:06:03,143 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,143 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,144 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,145 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,146 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,146 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,147 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,148 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:03,149 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 03:06:03,149 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 03:06:03,150 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 03:06:03,151 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 03:06:03,151 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 03:06:03,152 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common 2024-01-19 03:06:03,152 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,152 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,153 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,154 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,155 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,155 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,156 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,157 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,158 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,158 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,159 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,160 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:03,161 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:03,161 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:03,162 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:03,163 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:03,163 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:03,164 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:03,165 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:03,165 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:03,166 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:03,167 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:03,167 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:03,168 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:03,169 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,169 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,170 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,171 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,172 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,172 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,173 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,174 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,175 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,175 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,176 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,177 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:03,178 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator 2024-01-19 03:06:03,178 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:03,178 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:03,179 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:03,180 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:03,181 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:03,181 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:03,182 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:03,183 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 03:06:03,183 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 03:06:03,184 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 03:06:03,184 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 03:06:03,185 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 03:06:03,185 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 03:06:03,186 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 03:06:03,187 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8 -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,188 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,188 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,189 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,190 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,191 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,191 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,192 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,193 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,194 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,194 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,195 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,196 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,197 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,197 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:03,198 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github 2024-01-19 03:06:03,198 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 03:06:03,199 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 03:06:03,200 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,200 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,202 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,202 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,203 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,204 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,206 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,207 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5 -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:03,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches 2024-01-19 03:06:03,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 03:06:03,208 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 03:06:03,209 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 03:06:03,210 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 03:06:03,210 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip 2024-01-19 03:06:03,211 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 03:06:03,211 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 03:06:03,212 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 03:06:03,212 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 03:06:03,213 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 03:06:03,213 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 03:06:03,214 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 03:06:03,215 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 03:06:03,216 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 03:06:03,216 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 03:06:03,217 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:03,217 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:03,218 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:03,219 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:03,219 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:03,220 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:03,221 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 03:06:03,222 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:03,223 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:03,223 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:03,224 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:03,225 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:03,226 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,227 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,227 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,228 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,229 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,229 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,230 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,231 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,232 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,232 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:03,233 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,234 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,235 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,235 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,236 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,237 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,238 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,238 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,239 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,240 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,241 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,241 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,242 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,243 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,244 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,244 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,245 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,246 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,247 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,247 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,248 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,249 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,250 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,250 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,251 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,252 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,253 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,253 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,254 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,255 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,255 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,256 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,257 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,258 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,258 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,259 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,260 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,261 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,261 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,262 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,263 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,264 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,264 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,265 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,266 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,267 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,267 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,268 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,269 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,270 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,270 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,271 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,272 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,273 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:03,273 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,274 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,274 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,275 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,276 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,277 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,277 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,278 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,279 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,280 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,280 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,281 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,282 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,283 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,283 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,284 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,285 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,286 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:03,286 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,287 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,287 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,288 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,289 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,290 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,290 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,291 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,292 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:03,293 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,293 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,294 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,294 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,295 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,296 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,297 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,297 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,298 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,299 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:03,300 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,300 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,301 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,302 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,302 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,303 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,304 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,305 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,305 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,306 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,307 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,308 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,308 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,309 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,310 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,311 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,311 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,312 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,313 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,314 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,314 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,315 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,316 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,317 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,317 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,318 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,319 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,320 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,320 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:03,321 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,321 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,322 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,323 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,324 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,325 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,325 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,326 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,327 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,328 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,328 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,329 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,330 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,331 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,332 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,332 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,333 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,334 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,335 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,335 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,336 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,337 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,338 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,338 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,339 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,340 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,341 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,341 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,342 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,343 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,344 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,344 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,345 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,346 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,347 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,348 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,348 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,349 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,350 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,351 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,351 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,352 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,353 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,354 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,355 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,355 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,356 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,357 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,358 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,358 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,359 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,360 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,361 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,361 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,362 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,363 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,364 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,364 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,365 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,366 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,367 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,367 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,368 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,369 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,370 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,370 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,371 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,372 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,373 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,373 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,374 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,375 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,376 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,377 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,377 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,378 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,379 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,380 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,380 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:03,381 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,381 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,382 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,383 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,385 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,386 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,387 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,387 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,388 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,389 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,390 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,390 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,391 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,392 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,393 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,393 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,394 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,395 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,396 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:03,396 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,397 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,398 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,398 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,399 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,400 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,401 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,401 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:03,402 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv 2024-01-19 03:06:03,402 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 03:06:03,403 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 03:06:03,404 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 03:06:03,404 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 03:06:03,405 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 03:06:03,405 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 03:06:03,406 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 03:06:03,407 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:03,407 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:03,408 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:03,408 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:03,409 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 03:06:03,410 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 03:06:03,411 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:03,411 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv 2024-01-19 03:06:03,412 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 03:06:03,412 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 03:06:03,413 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 03:06:03,414 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 03:06:03,414 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 03:06:03,415 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 03:06:03,416 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:03,416 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:03,417 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:03,418 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:03,418 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 03:06:03,419 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 03:06:03,419 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 03:06:03,420 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 03:06:03,421 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 03:06:03,421 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 03:06:03,422 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 03:06:03,423 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 03:06:03,423 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 03:06:03,424 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 03:06:03,424 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 03:06:03,425 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 03:06:03,426 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:03,426 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:03,427 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:03,428 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:03,428 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:03,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:03,430 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:03,431 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr 2024-01-19 03:06:03,431 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:03,431 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:03,432 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:03,433 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:03,434 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 03:06:03,434 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 03:06:03,435 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 03:06:03,435 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 03:06:03,436 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,436 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,437 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,438 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,439 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,439 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,440 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,441 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:03,442 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,442 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,444 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,445 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,446 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,446 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,447 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,448 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,450 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,451 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,452 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,452 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,453 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,454 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,456 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,457 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,458 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,458 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,459 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,460 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,462 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,463 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,464 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,465 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,465 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,466 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,467 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:03,468 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,468 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,469 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,470 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,470 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,471 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,472 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,473 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,473 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,474 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,475 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:03,477 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,479 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,480 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,481 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,481 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,482 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,483 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,484 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,484 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,485 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,487 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,488 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:03,489 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,490 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,492 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,493 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,495 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,496 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,497 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,497 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,498 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,501 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,502 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:03,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:03,504 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:03,505 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,506 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,506 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,507 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,508 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,509 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,509 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,511 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,512 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,512 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,513 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,514 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,515 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,515 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,516 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,518 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,519 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,521 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,522 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,524 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,525 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,526 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,526 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,527 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,528 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,530 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,531 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,532 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,532 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:03,533 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 03:06:03,533 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 03:06:03,534 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples 2024-01-19 03:06:03,535 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:03,535 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:03,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:03,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:03,537 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:03,538 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:03,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:03,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:03,540 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:03,541 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:03,541 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:03,542 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:03,543 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:03,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:03,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:03,545 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv 2024-01-19 03:06:03,545 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,547 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,548 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,549 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,549 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,550 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,551 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,552 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,552 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,553 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:03,554 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,554 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,555 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,556 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,557 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,557 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,558 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,559 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,560 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:03,560 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:03,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:03,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:03,562 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:03,563 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:03,564 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,564 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,565 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,567 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,568 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,569 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:03,569 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:03,570 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:03,570 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:03,571 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:03,572 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:03,573 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:03,573 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:03,574 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:03,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:03,575 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,576 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,578 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,579 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,579 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,580 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,581 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,582 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,582 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,583 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,584 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,585 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,585 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,586 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,587 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,588 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:03,588 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:03,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:03,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:03,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:03,591 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:03,592 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:03,592 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:03,593 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:03,594 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:03,594 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:03,595 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:03,596 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:03,597 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:03,597 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:03,598 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,598 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,599 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,600 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,601 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,601 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,602 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,603 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,604 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,605 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:03,605 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 03:06:03,606 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 03:06:03,606 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,607 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,608 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,608 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,609 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,610 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,611 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,611 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,612 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,613 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:03,614 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,614 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,615 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,615 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,616 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,617 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,618 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,618 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:03,619 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 03:06:03,620 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 03:06:03,620 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,621 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,621 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,622 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,623 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,624 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,624 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,625 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,626 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,627 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,627 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,628 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,629 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,630 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:03,630 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:03,631 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:03,632 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:03,632 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:03,633 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:03,634 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:03,634 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,635 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,636 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,636 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,637 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,638 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,639 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,640 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,640 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,641 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,642 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,643 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,644 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,644 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,645 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,646 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,647 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,647 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,648 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,649 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,650 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,651 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,651 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,652 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,653 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,654 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,654 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,655 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:03,656 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:03,656 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:03,657 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:03,658 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:03,658 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:03,659 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:03,660 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:03,660 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:03,661 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:03,662 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:03,662 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:03,663 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:03,664 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:03,665 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:03,665 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:03,666 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:03,666 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:03,667 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:03,668 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:03,669 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:03,669 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:03,670 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 03:06:03,671 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 03:06:03,672 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:03,672 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:03,673 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,674 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,675 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,675 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,676 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,677 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,678 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,679 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,679 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,680 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,681 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,682 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,682 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,683 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,684 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,684 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,685 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,686 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,687 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,687 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,688 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,689 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,690 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,690 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,691 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,692 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:03,693 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:03,693 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:03,694 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:03,694 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:03,695 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:03,696 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target 2024-01-19 03:06:03,696 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:03,697 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:03,697 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:03,698 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:03,699 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:03,699 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:03,700 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:03,701 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:03,702 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:03,702 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:03,703 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:03,703 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:03,704 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:03,705 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:03,705 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:03,706 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:03,707 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:03,707 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:03,708 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:03,709 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:03,709 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:03,710 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:03,711 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:03,711 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:03,712 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:03,713 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:03,713 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:03,714 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:03,715 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:03,715 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:03,716 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:03,717 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:03,717 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 03:06:03,718 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 03:06:03,719 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 03:06:03,719 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:03,720 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:03,720 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:03,721 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:03,722 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:03,722 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:03,723 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:03,724 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:03,725 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:03,725 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 03:06:03,726 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 03:06:03,727 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 03:06:03,728 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 03:06:03,728 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 03:06:03,729 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,730 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,731 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,731 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,732 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,733 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,734 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,734 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,735 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,736 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,737 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,737 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,738 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,739 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:03,740 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:03,740 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:03,741 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:03,742 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:03,742 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:03,743 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:03,743 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:03,744 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:03,745 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:03,746 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:03,746 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:03,747 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:03,748 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:03,748 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:03,749 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:03,750 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:03,750 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:03,751 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:03,752 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:03,752 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:03,753 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:03,754 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:03,754 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:03,755 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:03,756 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:03,756 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:03,757 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:03,758 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:03,758 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:03,759 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:03,760 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 03:06:03,761 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 03:06:03,761 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,762 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,763 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,764 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,764 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,765 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,766 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,767 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,768 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:03,768 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:03,769 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:03,770 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:03,770 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:03,771 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,771 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,772 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,773 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,774 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,775 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,775 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,776 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,777 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,778 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:03,778 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 03:06:03,779 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 03:06:03,780 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 03:06:03,780 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,781 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,782 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,782 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,783 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,784 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,785 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,785 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,786 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,787 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,788 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,788 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,789 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,790 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,790 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,791 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,792 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,793 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,793 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,794 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,795 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,796 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,796 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,797 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,798 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,799 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,799 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,800 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,801 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,802 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,802 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,803 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,804 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,805 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,805 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,806 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,807 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,808 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,808 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,809 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,810 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,811 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,811 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,812 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,813 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,813 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,814 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:03,815 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:03,815 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:03,816 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:03,817 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:03,818 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 03:06:03,818 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 03:06:03,819 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 03:06:03,819 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 03:06:03,820 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:03,821 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:03,821 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:03,822 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:03,823 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:03,823 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 03:06:03,824 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 03:06:03,825 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga 2024-01-19 03:06:03,825 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 03:06:03,825 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 03:06:03,826 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 03:06:03,827 root INFO copying pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v -> build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 03:06:03,827 root INFO copying pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v -> build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 03:06:03,828 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,828 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,829 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,830 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,831 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,831 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,832 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,833 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,834 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,834 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,835 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:03,836 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 03:06:03,836 root INFO copying pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 03:06:03,837 root INFO copying pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 03:06:03,838 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:03,838 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:03,839 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:03,840 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:03,840 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:03,841 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:03,842 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,842 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,843 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,844 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,844 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,845 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,846 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,847 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,848 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,848 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,849 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,850 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,851 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,851 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,852 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,853 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,854 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,854 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,855 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:03,856 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:03,856 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:03,857 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:03,858 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:03,859 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:03,859 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 03:06:03,860 root INFO copying pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css -> build/lib/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 03:06:03,860 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,861 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,862 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,863 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,864 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,864 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,865 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,866 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,867 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:03,869 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples 2024-01-19 03:06:03,870 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 03:06:03,870 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 03:06:03,871 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,871 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,872 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,873 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,874 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,874 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,875 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,876 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:03,877 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga 2024-01-19 03:06:03,877 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 03:06:03,878 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 03:06:03,878 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 03:06:03,879 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 03:06:03,880 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 03:06:03,880 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 03:06:03,881 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 03:06:03,882 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 03:06:03,882 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 03:06:03,883 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 03:06:03,884 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 03:06:03,884 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 03:06:03,885 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:03,885 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:03,886 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:03,887 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:03,887 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:03,888 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system 2024-01-19 03:06:03,888 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:03,889 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:03,890 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:03,890 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:03,891 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:03,892 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:03,893 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:03,893 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 03:06:03,894 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 03:06:03,894 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 03:06:03,895 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 03:06:03,896 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 03:06:03,896 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:03,897 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:03,898 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:03,898 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:03,899 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:03,900 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 03:06:03,900 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 03:06:03,901 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 03:06:03,902 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 03:06:03,902 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 03:06:04,010 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:04,011 root INFO running install 2024-01-19 03:06:04,072 root INFO running install_lib 2024-01-19 03:06:04,081 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:04,081 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:04,082 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex 2024-01-19 03:06:04,082 root INFO copying build/lib/pythondata_cpu_ibex/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex 2024-01-19 03:06:04,083 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,084 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 03:06:04,084 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 03:06:04,085 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 03:06:04,085 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/python-requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,086 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/CREDITS.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,087 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 03:06:04,087 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 03:06:04,088 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 03:06:04,089 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 03:06:04,089 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 03:06:04,090 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:04,090 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:04,091 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:04,092 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:04,093 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:04,093 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 03:06:04,094 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,094 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,095 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,096 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,096 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,097 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,098 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,098 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,099 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,100 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,100 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,101 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,102 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,102 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,103 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,104 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,104 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,105 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,106 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,106 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,107 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,107 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 03:06:04,108 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:04,109 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:04,109 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/ibex_config.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:04,110 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:04,111 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:04,111 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 03:06:04,112 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/check_tool_requirements.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,113 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,113 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,114 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_core.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,115 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_configs.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,115 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,116 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.svlint.toml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,117 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_tracer.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,117 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/azure-pipelines.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,118 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv 2024-01-19 03:06:04,118 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:04,119 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:04,120 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 03:06:04,120 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 03:06:04,121 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 03:06:04,121 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 03:06:04,122 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 03:06:04,123 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:04,123 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 03:06:04,124 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:04,125 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 03:06:04,125 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 03:06:04,126 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,126 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,127 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,128 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,128 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,129 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,130 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,130 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 03:06:04,131 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:04,131 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:04,132 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:04,133 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:04,133 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 03:06:04,134 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 03:06:04,134 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 03:06:04,135 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 03:06:04,136 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:04,136 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:04,137 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:04,138 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:04,138 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 03:06:04,139 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:04,140 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:04,140 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 03:06:04,141 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,141 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,142 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,143 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,143 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,144 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,145 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,145 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 03:06:04,146 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 03:06:04,147 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache 2024-01-19 03:06:04,147 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:04,148 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,148 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,149 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,149 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,150 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,151 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,151 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,152 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,153 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,153 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,154 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,155 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,155 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 03:06:04,156 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,157 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,157 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,158 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,159 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,159 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,160 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 03:06:04,161 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,161 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,162 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 03:06:04,162 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 03:06:04,163 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 03:06:04,164 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,164 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,165 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,166 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,166 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,167 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,168 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,168 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,169 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,170 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 03:06:04,170 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:04,171 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:04,171 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:04,172 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:04,173 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 03:06:04,174 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 03:06:04,174 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 03:06:04,175 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 03:06:04,175 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,176 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,177 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:04,177 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:04,178 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:04,178 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 03:06:04,179 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,180 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,180 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,181 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,182 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,182 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,183 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,184 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,184 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,185 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,186 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,186 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,187 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 03:06:04,188 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:04,189 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,189 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,190 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:04,190 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:04,191 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:04,192 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 03:06:04,192 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,193 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,194 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,194 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,195 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,196 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,196 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,197 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,198 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,198 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,199 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,200 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,200 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,201 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 03:06:04,202 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:04,202 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 03:06:04,203 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:04,203 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:04,204 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:04,205 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 03:06:04,206 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 03:06:04,206 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 03:06:04,207 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 03:06:04,207 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 03:06:04,208 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 03:06:04,209 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 03:06:04,210 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,210 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common 2024-01-19 03:06:04,211 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,211 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,212 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,212 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,213 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,214 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,214 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,215 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,216 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,216 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,217 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,218 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 03:06:04,218 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:04,219 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:04,220 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:04,220 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:04,221 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:04,221 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:04,222 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 03:06:04,223 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:04,223 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:04,224 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:04,225 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:04,225 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 03:06:04,226 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,227 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,227 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,228 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,229 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,229 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,230 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,230 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,231 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,232 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,232 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,233 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 03:06:04,234 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,234 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,235 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,236 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,236 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,237 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,238 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,238 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,239 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 03:06:04,240 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,240 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:04,241 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:04,241 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:04,242 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:04,243 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:04,244 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:04,244 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 03:06:04,245 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,246 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 03:06:04,246 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 03:06:04,247 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,248 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,248 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,249 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,249 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,250 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,251 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,252 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,252 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,253 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,254 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,254 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,255 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 03:06:04,256 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 03:06:04,256 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 03:06:04,257 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 03:06:04,258 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,258 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,259 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,260 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,260 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 03:06:04,261 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 03:06:04,261 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,262 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,263 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,263 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,264 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 03:06:04,265 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:04,265 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:04,266 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:04,267 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 03:06:04,267 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator 2024-01-19 03:06:04,268 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:04,268 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:04,269 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 03:06:04,270 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 03:06:04,270 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:04,271 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:04,272 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:04,272 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:04,273 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 03:06:04,274 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 03:06:04,274 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 03:06:04,275 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 03:06:04,275 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 03:06:04,276 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 03:06:04,277 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,277 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,278 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,279 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,279 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,280 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,281 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,281 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,282 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 03:06:04,283 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,283 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_icache.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,284 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,284 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,285 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,286 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,287 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,287 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,288 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,289 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,290 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,290 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,291 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,291 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,292 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,293 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,294 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,294 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,295 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,296 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,296 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,297 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,298 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,298 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,299 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,300 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,300 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,301 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,302 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,303 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,303 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,304 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,305 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,305 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 03:06:04,306 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_multdiv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,307 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:04,307 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:04,308 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches 2024-01-19 03:06:04,309 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip 2024-01-19 03:06:04,309 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 03:06:04,310 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 03:06:04,310 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 03:06:04,311 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 03:06:04,312 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 03:06:04,312 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 03:06:04,313 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 03:06:04,313 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 03:06:04,314 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 03:06:04,314 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 03:06:04,315 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip 2024-01-19 03:06:04,316 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 03:06:04,316 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 03:06:04,317 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools 2024-01-19 03:06:04,317 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:04,318 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:04,319 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:04,319 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:04,320 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:04,321 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 03:06:04,321 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 03:06:04,322 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 03:06:04,322 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 03:06:04,323 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:04,324 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:04,324 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:04,325 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:04,326 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 03:06:04,326 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:04,327 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:04,327 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:04,328 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 03:06:04,329 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 03:06:04,329 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 03:06:04,330 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 03:06:04,331 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip 2024-01-19 03:06:04,331 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,332 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,332 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,333 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,334 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,334 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,335 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,336 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,336 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,337 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 03:06:04,338 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,338 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,339 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,340 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,340 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,341 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,341 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,342 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,343 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,343 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,344 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,345 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,345 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,346 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,347 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 03:06:04,347 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,348 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,349 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,349 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 03:06:04,350 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,351 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,351 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,352 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,353 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,353 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,354 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,355 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,355 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,356 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,357 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,357 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,358 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,358 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,359 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,360 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,360 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,361 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,362 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,362 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,363 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,364 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,364 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,365 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,366 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,366 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,367 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,368 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,368 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,369 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,370 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 03:06:04,370 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,371 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv 2024-01-19 03:06:04,372 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 03:06:04,372 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 03:06:04,373 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 03:06:04,373 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 03:06:04,374 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 03:06:04,375 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 03:06:04,375 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 03:06:04,376 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,376 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,377 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,378 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,378 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,379 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,380 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,380 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,381 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 03:06:04,381 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 03:06:04,382 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:04,383 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:04,383 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:04,384 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 03:06:04,385 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 03:06:04,385 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 03:06:04,386 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 03:06:04,387 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:04,387 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:04,388 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:04,389 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:04,389 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:04,390 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 03:06:04,391 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,391 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,392 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,393 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,393 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,394 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,395 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,395 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,396 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,396 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,397 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,398 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,398 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,399 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,400 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,400 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,401 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,402 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv 2024-01-19 03:06:04,403 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 03:06:04,403 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 03:06:04,404 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 03:06:04,405 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 03:06:04,405 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 03:06:04,406 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 03:06:04,407 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 03:06:04,407 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 03:06:04,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 03:06:04,408 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 03:06:04,409 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 03:06:04,410 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 03:06:04,410 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 03:06:04,411 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 03:06:04,411 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 03:06:04,412 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:04,413 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:04,413 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:04,414 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:04,415 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:04,415 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:04,416 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 03:06:04,417 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:04,417 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:04,418 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:04,419 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 03:06:04,419 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr 2024-01-19 03:06:04,420 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:04,420 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:04,421 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:04,422 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 03:06:04,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 03:06:04,423 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 03:06:04,424 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 03:06:04,424 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 03:06:04,425 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 03:06:04,425 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 03:06:04,426 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 03:06:04,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,427 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,428 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,428 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,429 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,430 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,430 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,431 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 03:06:04,432 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,433 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,433 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,434 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,435 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,435 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,436 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,437 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,437 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,438 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,439 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,439 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,440 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,441 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,441 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,442 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,443 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,443 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,444 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,445 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,445 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,446 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,447 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,447 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,448 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,449 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,449 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,450 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,451 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,451 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,452 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,453 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,453 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,454 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,455 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,455 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,456 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,457 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,457 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,458 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,459 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,459 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,460 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,461 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,461 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,462 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,463 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,463 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,464 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,465 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,465 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,466 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,467 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,467 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,468 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,469 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,469 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,470 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,471 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,471 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,472 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,473 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,473 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,474 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,475 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,475 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,476 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,477 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,477 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,478 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,479 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,479 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,480 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,481 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,481 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,482 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,483 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,484 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,484 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 03:06:04,485 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,486 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,486 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,487 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,488 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,488 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,489 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,490 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,490 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,491 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,491 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,492 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,493 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,493 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,494 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,495 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,495 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,496 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,497 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,497 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,498 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,499 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,499 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,500 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,501 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,501 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,502 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,503 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,503 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,504 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,504 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,505 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,506 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,506 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,507 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,508 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,508 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,509 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,510 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,510 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,511 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,512 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,512 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,513 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,514 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,514 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,515 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,516 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,516 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,517 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,518 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,518 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,519 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,520 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,520 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,521 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,522 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,522 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,523 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,524 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,524 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,525 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,525 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 03:06:04,526 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,527 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,527 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,528 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,529 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,529 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,530 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,531 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,531 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,532 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,533 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,533 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,534 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,535 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,535 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,536 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,537 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 03:06:04,537 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,538 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,539 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,539 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,540 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,541 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,541 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 03:06:04,542 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,543 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,543 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,544 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,545 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,545 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,546 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,546 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,547 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,548 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,548 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,549 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,550 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 03:06:04,550 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,551 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,552 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 03:06:04,553 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,553 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,554 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,554 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,555 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,556 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,556 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,557 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,558 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,558 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,559 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,559 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,560 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,561 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,561 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,562 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,563 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,563 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 03:06:04,564 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,565 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,565 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,566 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,567 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,567 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,568 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,569 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,569 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,570 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,571 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,571 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,572 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,573 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,573 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,574 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,574 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,575 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,576 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,576 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,577 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,578 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,578 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,579 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,580 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,580 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,581 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 03:06:04,582 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,582 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,583 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,584 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,584 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,585 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,586 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,586 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,587 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 03:06:04,588 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util 2024-01-19 03:06:04,588 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,589 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,590 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,590 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,591 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,592 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,592 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,593 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,594 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,594 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,595 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,596 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,596 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,597 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,598 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,598 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,599 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,600 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,600 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,601 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,602 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,602 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,603 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,604 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,605 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,605 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,606 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,607 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 03:06:04,607 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 03:06:04,608 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 03:06:04,608 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples 2024-01-19 03:06:04,609 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:04,609 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:04,610 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:04,611 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:04,611 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 03:06:04,612 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,613 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,613 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,614 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,615 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,615 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,616 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,617 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,617 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,618 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,619 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,619 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,620 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,621 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,621 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,622 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,623 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,623 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,624 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,625 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,625 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,626 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,627 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,627 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,628 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,629 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,629 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,630 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,631 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,631 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,632 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,632 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,633 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,634 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,634 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,635 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,636 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,637 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,637 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,638 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,639 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,639 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,640 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 03:06:04,641 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv 2024-01-19 03:06:04,641 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv 2024-01-19 03:06:04,642 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,642 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,643 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,643 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,644 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,645 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,645 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,646 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,647 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,647 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,648 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,649 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 03:06:04,650 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,650 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,651 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,651 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,652 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,653 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,653 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,654 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,655 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 03:06:04,655 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:04,656 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:04,656 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:04,657 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:04,658 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 03:06:04,659 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,659 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,660 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,660 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,661 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,662 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,662 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,663 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 03:06:04,664 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:04,664 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:04,665 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:04,665 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:04,666 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 03:06:04,667 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:04,667 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:04,668 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:04,669 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 03:06:04,670 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,670 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,671 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,671 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,672 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,673 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,673 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,674 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,675 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,675 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,676 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,677 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,677 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,678 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,679 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,679 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,680 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,681 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 03:06:04,681 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:04,682 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:04,682 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:04,683 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:04,684 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:04,684 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:04,685 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 03:06:04,686 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:04,686 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:04,687 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:04,687 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:04,688 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:04,689 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:04,689 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 03:06:04,690 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:04,691 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:04,691 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:04,692 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 03:06:04,693 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,693 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,695 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,695 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,697 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,699 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,701 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 03:06:04,702 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,702 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,703 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,703 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,704 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,705 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,705 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,706 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 03:06:04,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,708 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,709 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,709 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,710 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 03:06:04,711 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:04,711 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 03:06:04,712 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 03:06:04,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:04,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 03:06:04,714 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:04,714 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:04,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:04,716 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 03:06:04,716 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 03:06:04,717 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:04,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:04,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:04,719 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:04,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:04,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 03:06:04,721 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:04,722 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:04,722 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 03:06:04,723 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,723 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,724 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,725 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,725 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,726 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,727 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,727 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,729 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,729 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,730 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 03:06:04,732 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:04,733 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:04,734 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,734 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,735 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,735 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,736 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,737 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,738 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,738 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,739 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,741 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,741 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,742 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,744 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,748 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,751 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,753 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:04,753 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:04,754 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:04,755 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:04,755 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 03:06:04,756 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,757 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,757 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,758 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,759 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 03:06:04,759 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,760 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,761 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,761 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,763 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,763 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,764 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,765 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,767 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,769 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,770 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,770 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,771 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,773 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,774 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 03:06:04,775 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,775 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target 2024-01-19 03:06:04,776 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:04,776 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:04,777 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:04,778 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 03:06:04,778 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:04,779 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:04,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:04,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 03:06:04,781 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:04,781 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:04,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:04,783 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 03:06:04,783 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:04,784 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:04,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:04,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 03:06:04,786 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:04,786 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:04,787 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:04,788 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 03:06:04,788 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:04,789 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:04,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:04,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 03:06:04,791 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:04,791 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:04,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:04,793 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 03:06:04,794 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:04,794 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:04,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:04,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 03:06:04,796 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 03:06:04,797 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 03:06:04,797 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 03:06:04,798 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:04,798 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:04,799 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:04,800 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 03:06:04,800 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:04,801 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:04,802 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:04,802 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 03:06:04,803 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,804 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,804 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,805 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:04,805 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:04,806 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:04,807 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 03:06:04,807 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,808 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,809 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:04,809 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:04,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:04,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 03:06:04,811 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,812 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,812 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,813 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,814 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,814 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,815 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,817 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen 2024-01-19 03:06:04,818 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,818 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,820 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target 2024-01-19 03:06:04,820 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 03:06:04,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 03:06:04,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 03:06:04,822 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 03:06:04,823 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 03:06:04,823 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 03:06:04,824 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 03:06:04,824 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 03:06:04,825 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 03:06:04,826 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 03:06:04,826 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 03:06:04,827 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 03:06:04,828 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 03:06:04,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 03:06:04,829 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 03:06:04,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,831 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,832 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,832 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,833 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,834 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,834 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,837 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,837 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,838 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,842 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 03:06:04,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,846 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,848 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,849 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,851 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:04,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:04,852 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:04,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 03:06:04,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 03:06:04,854 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,856 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,860 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 03:06:04,862 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,863 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 03:06:04,864 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:04,864 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:04,865 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:04,865 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:04,866 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:04,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:04,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 03:06:04,868 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:04,868 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:04,869 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:04,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:04,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:04,871 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 03:06:04,872 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 03:06:04,872 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,875 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,879 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,881 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 03:06:04,883 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 03:06:04,884 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 03:06:04,884 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,886 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,887 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,888 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:04,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:04,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:04,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:04,890 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 03:06:04,891 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,891 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,893 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,893 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,894 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 03:06:04,896 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github 2024-01-19 03:06:04,897 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 03:06:04,897 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 03:06:04,898 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:04,899 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,899 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:04,900 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:04,900 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:04,901 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 03:06:04,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,902 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:04,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:04,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:04,904 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:04,905 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:04,905 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 03:06:04,906 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:04,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:04,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:04,908 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 03:06:04,909 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:04,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:04,910 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:04,910 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 03:06:04,911 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:04,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:04,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:04,913 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 03:06:04,914 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,914 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,915 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,917 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:04,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:04,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:04,919 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 03:06:04,920 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,920 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,921 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,922 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:04,922 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 03:06:04,923 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,923 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:04,924 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:04,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:04,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 03:06:04,926 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,927 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 03:06:04,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 03:06:04,928 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,929 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,929 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,930 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,932 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 03:06:04,933 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 03:06:04,933 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 03:06:04,934 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:04,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:04,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:04,936 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 03:06:04,937 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,937 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,939 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,939 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,940 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,941 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,941 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,942 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,943 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 03:06:04,943 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 03:06:04,944 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 03:06:04,945 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 03:06:04,945 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 03:06:04,946 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,947 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,947 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,948 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,950 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,952 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,954 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,955 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,955 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,957 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,957 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,959 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,961 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,962 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,962 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,963 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,964 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,964 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,965 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,966 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,966 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,967 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,969 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,970 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,970 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,971 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,972 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,972 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,973 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,975 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 03:06:04,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:04,978 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:04,978 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 03:06:04,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 03:06:04,980 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 03:06:04,981 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,982 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:04,982 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:04,983 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/vars.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:04,983 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:04,984 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/azp-private.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:04,985 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 03:06:04,985 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 03:06:04,986 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 03:06:04,987 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:04,987 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:04,988 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga 2024-01-19 03:06:04,988 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 03:06:04,989 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 03:06:04,989 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 03:06:04,990 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 03:06:04,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:04,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:04,992 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 03:06:04,992 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/sim_shared.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 03:06:04,993 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_top.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,994 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,994 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,995 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/tool_requirements.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:04,996 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:04,996 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:04,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:04,998 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:04,998 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:04,999 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:05,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:05,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:05,001 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 03:06:05,001 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 03:06:05,002 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 03:06:05,003 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:05,003 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 03:06:05,004 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,005 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,005 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,006 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,007 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,007 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,008 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,009 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,009 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,010 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,011 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 03:06:05,011 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:05,012 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:05,012 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:05,013 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:05,014 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 03:06:05,014 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:05,015 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 03:06:05,016 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:05,016 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:05,017 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 03:06:05,017 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 03:06:05,018 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 03:06:05,019 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:05,019 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:05,020 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:05,021 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:05,021 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:05,022 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 03:06:05,023 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:05,023 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,024 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,024 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,025 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,026 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,027 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,027 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,028 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,029 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,029 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,030 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,031 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,031 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,032 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,033 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,034 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,034 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 03:06:05,037 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,038 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,038 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,039 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,040 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,041 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,041 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,042 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,043 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,044 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,044 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 03:06:05,045 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:05,046 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:05,046 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:05,047 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:05,048 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:05,048 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 03:06:05,049 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:05,050 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 03:06:05,050 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 03:06:05,051 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:05,052 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 03:06:05,052 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples 2024-01-19 03:06:05,053 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga 2024-01-19 03:06:05,054 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 03:06:05,054 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 03:06:05,055 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 03:06:05,055 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 03:06:05,056 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 03:06:05,057 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 03:06:05,057 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 03:06:05,058 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 03:06:05,058 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 03:06:05,059 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 03:06:05,060 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 03:06:05,060 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 03:06:05,061 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system 2024-01-19 03:06:05,062 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:05,062 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:05,063 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:05,064 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:05,064 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:05,065 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:05,066 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 03:06:05,066 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 03:06:05,067 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 03:06:05,067 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 03:06:05,068 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 03:06:05,069 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 03:06:05,069 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:05,070 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:05,070 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:05,071 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:05,072 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 03:06:05,072 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 03:06:05,073 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 03:06:05,074 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:05,074 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:05,075 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:05,076 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:05,076 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 03:06:05,077 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,077 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,078 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 03:06:05,079 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 03:06:05,079 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 03:06:05,080 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,081 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,081 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,082 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 03:06:05,082 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 03:06:05,083 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,084 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,084 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 03:06:05,085 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github 2024-01-19 03:06:05,086 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:06:05,086 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:06:05,087 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 03:06:05,088 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 03:06:05,088 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 03:06:05,089 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 03:06:05,090 root INFO running install_egg_info 2024-01-19 03:06:05,107 root INFO Copying pythondata_cpu_ibex.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex-0.0.post2214-py3.11.egg-info 2024-01-19 03:06:05,111 root INFO running install_scripts 2024-01-19 03:06:05,119 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex-0.0.post2214.dist-info/WHEEL 2024-01-19 03:06:05,122 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-oe7ndl7p/pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:05,125 wheel INFO adding 'pythondata_cpu_ibex/__init__.py' 2024-01-19 03:06:05,129 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.clang-format' 2024-01-19 03:06:05,130 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.gitignore' 2024-01-19 03:06:05,131 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.svlint.toml' 2024-01-19 03:06:05,132 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md' 2024-01-19 03:06:05,132 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/CREDITS.md' 2024-01-19 03:06:05,134 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/LICENSE' 2024-01-19 03:06:05,135 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/Makefile' 2024-01-19 03:06:05,136 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/README.md' 2024-01-19 03:06:05,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/azure-pipelines.yml' 2024-01-19 03:06:05,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/check_tool_requirements.core' 2024-01-19 03:06:05,139 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_configs.yaml' 2024-01-19 03:06:05,140 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_core.core' 2024-01-19 03:06:05,141 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_icache.core' 2024-01-19 03:06:05,141 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_multdiv.core' 2024-01-19 03:06:05,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_pkg.core' 2024-01-19 03:06:05,143 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_top.core' 2024-01-19 03:06:05,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core' 2024-01-19 03:06:05,145 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_tracer.core' 2024-01-19 03:06:05,146 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/python-requirements.txt' 2024-01-19 03:06:05,147 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/src_files.yml' 2024-01-19 03:06:05,148 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/tool_requirements.py' 2024-01-19 03:06:05,149 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md' 2024-01-19 03:06:05,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md' 2024-01-19 03:06:05,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml' 2024-01-19 03:06:05,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml' 2024-01-19 03:06:05,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/azp-private.yml' 2024-01-19 03:06:05,153 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml' 2024-01-19 03:06:05,154 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh' 2024-01-19 03:06:05,155 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/vars.yml' 2024-01-19 03:06:05,156 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py' 2024-01-19 03:06:05,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/.gitignore' 2024-01-19 03:06:05,158 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/Makefile' 2024-01-19 03:06:05,159 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/conf.py' 2024-01-19 03:06:05,160 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/index.rst' 2024-01-19 03:06:05,161 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/make.bat' 2024-01-19 03:06:05,162 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/requirements.txt' 2024-01-19 03:06:05,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst' 2024-01-19 03:06:05,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst' 2024-01-19 03:06:05,164 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst' 2024-01-19 03:06:05,165 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst' 2024-01-19 03:06:05,166 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst' 2024-01-19 03:06:05,167 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst' 2024-01-19 03:06:05,168 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst' 2024-01-19 03:06:05,169 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst' 2024-01-19 03:06:05,170 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst' 2024-01-19 03:06:05,171 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst' 2024-01-19 03:06:05,172 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst' 2024-01-19 03:06:05,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst' 2024-01-19 03:06:05,175 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst' 2024-01-19 03:06:05,176 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst' 2024-01-19 03:06:05,177 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst' 2024-01-19 03:06:05,178 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst' 2024-01-19 03:06:05,179 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst' 2024-01-19 03:06:05,180 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst' 2024-01-19 03:06:05,181 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst' 2024-01-19 03:06:05,182 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst' 2024-01-19 03:06:05,183 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst' 2024-01-19 03:06:05,184 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst' 2024-01-19 03:06:05,185 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst' 2024-01-19 03:06:05,186 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst' 2024-01-19 03:06:05,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst' 2024-01-19 03:06:05,188 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst' 2024-01-19 03:06:05,189 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst' 2024-01-19 03:06:05,190 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg' 2024-01-19 03:06:05,192 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg' 2024-01-19 03:06:05,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png' 2024-01-19 03:06:05,196 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg' 2024-01-19 03:06:05,198 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg' 2024-01-19 03:06:05,200 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg' 2024-01-19 03:06:05,201 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg' 2024-01-19 03:06:05,205 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg' 2024-01-19 03:06:05,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst' 2024-01-19 03:06:05,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst' 2024-01-19 03:06:05,222 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css' 2024-01-19 03:06:05,223 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core' 2024-01-19 03:06:05,224 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h' 2024-01-19 03:06:05,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc' 2024-01-19 03:06:05,226 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core' 2024-01-19 03:06:05,227 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h' 2024-01-19 03:06:05,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh' 2024-01-19 03:06:05,229 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc' 2024-01-19 03:06:05,230 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h' 2024-01-19 03:06:05,231 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile' 2024-01-19 03:06:05,232 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md' 2024-01-19 03:06:05,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core' 2024-01-19 03:06:05,234 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc' 2024-01-19 03:06:05,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv' 2024-01-19 03:06:05,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc' 2024-01-19 03:06:05,236 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h' 2024-01-19 03:06:05,237 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h' 2024-01-19 03:06:05,238 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc' 2024-01-19 03:06:05,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h' 2024-01-19 03:06:05,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt' 2024-01-19 03:06:05,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc' 2024-01-19 03:06:05,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h' 2024-01-19 03:06:05,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc' 2024-01-19 03:06:05,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h' 2024-01-19 03:06:05,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def' 2024-01-19 03:06:05,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc' 2024-01-19 03:06:05,247 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv' 2024-01-19 03:06:05,247 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc' 2024-01-19 03:06:05,248 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h' 2024-01-19 03:06:05,249 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc' 2024-01-19 03:06:05,250 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h' 2024-01-19 03:06:05,251 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc' 2024-01-19 03:06:05,252 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h' 2024-01-19 03:06:05,253 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc' 2024-01-19 03:06:05,254 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv' 2024-01-19 03:06:05,255 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc' 2024-01-19 03:06:05,256 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv' 2024-01-19 03:06:05,257 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md' 2024-01-19 03:06:05,258 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc' 2024-01-19 03:06:05,258 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core' 2024-01-19 03:06:05,260 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt' 2024-01-19 03:06:05,261 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv' 2024-01-19 03:06:05,262 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv' 2024-01-19 03:06:05,263 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson' 2024-01-19 03:06:05,264 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md' 2024-01-19 03:06:05,264 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core' 2024-01-19 03:06:05,265 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv' 2024-01-19 03:06:05,266 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile' 2024-01-19 03:06:05,268 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py' 2024-01-19 03:06:05,269 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py' 2024-01-19 03:06:05,270 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg' 2024-01-19 03:06:05,271 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f' 2024-01-19 03:06:05,272 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f' 2024-01-19 03:06:05,273 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py' 2024-01-19 03:06:05,274 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py' 2024-01-19 03:06:05,275 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py' 2024-01-19 03:06:05,276 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py' 2024-01-19 03:06:05,277 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py' 2024-01-19 03:06:05,278 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py' 2024-01-19 03:06:05,278 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py' 2024-01-19 03:06:05,279 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl' 2024-01-19 03:06:05,280 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv' 2024-01-19 03:06:05,281 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv' 2024-01-19 03:06:05,282 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv' 2024-01-19 03:06:05,283 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv' 2024-01-19 03:06:05,284 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv' 2024-01-19 03:06:05,285 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv' 2024-01-19 03:06:05,286 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv' 2024-01-19 03:06:05,287 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv' 2024-01-19 03:06:05,288 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv' 2024-01-19 03:06:05,289 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc' 2024-01-19 03:06:05,289 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh' 2024-01-19 03:06:05,290 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv' 2024-01-19 03:06:05,291 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core' 2024-01-19 03:06:05,292 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv' 2024-01-19 03:06:05,293 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv' 2024-01-19 03:06:05,294 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv' 2024-01-19 03:06:05,295 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv' 2024-01-19 03:06:05,296 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv' 2024-01-19 03:06:05,297 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv' 2024-01-19 03:06:05,298 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv' 2024-01-19 03:06:05,299 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv' 2024-01-19 03:06:05,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv' 2024-01-19 03:06:05,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv' 2024-01-19 03:06:05,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv' 2024-01-19 03:06:05,302 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv' 2024-01-19 03:06:05,303 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv' 2024-01-19 03:06:05,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv' 2024-01-19 03:06:05,305 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv' 2024-01-19 03:06:05,306 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv' 2024-01-19 03:06:05,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv' 2024-01-19 03:06:05,308 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv' 2024-01-19 03:06:05,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv' 2024-01-19 03:06:05,310 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv' 2024-01-19 03:06:05,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv' 2024-01-19 03:06:05,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv' 2024-01-19 03:06:05,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv' 2024-01-19 03:06:05,313 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv' 2024-01-19 03:06:05,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv' 2024-01-19 03:06:05,315 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv' 2024-01-19 03:06:05,316 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv' 2024-01-19 03:06:05,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv' 2024-01-19 03:06:05,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv' 2024-01-19 03:06:05,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml' 2024-01-19 03:06:05,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml' 2024-01-19 03:06:05,321 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv' 2024-01-19 03:06:05,322 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py' 2024-01-19 03:06:05,323 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml' 2024-01-19 03:06:05,324 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic' 2024-01-19 03:06:05,325 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv' 2024-01-19 03:06:05,326 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml' 2024-01-19 03:06:05,327 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh' 2024-01-19 03:06:05,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv' 2024-01-19 03:06:05,330 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv' 2024-01-19 03:06:05,331 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv' 2024-01-19 03:06:05,332 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv' 2024-01-19 03:06:05,333 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv' 2024-01-19 03:06:05,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv' 2024-01-19 03:06:05,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv' 2024-01-19 03:06:05,336 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml' 2024-01-19 03:06:05,338 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson' 2024-01-19 03:06:05,339 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md' 2024-01-19 03:06:05,340 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg' 2024-01-19 03:06:05,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile' 2024-01-19 03:06:05,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core' 2024-01-19 03:06:05,344 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson' 2024-01-19 03:06:05,345 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core' 2024-01-19 03:06:05,346 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv' 2024-01-19 03:06:05,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv' 2024-01-19 03:06:05,348 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv' 2024-01-19 03:06:05,348 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv' 2024-01-19 03:06:05,349 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv' 2024-01-19 03:06:05,351 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv' 2024-01-19 03:06:05,352 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv' 2024-01-19 03:06:05,353 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv' 2024-01-19 03:06:05,354 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv' 2024-01-19 03:06:05,355 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv' 2024-01-19 03:06:05,356 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv' 2024-01-19 03:06:05,356 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv' 2024-01-19 03:06:05,357 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv' 2024-01-19 03:06:05,358 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv' 2024-01-19 03:06:05,359 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv' 2024-01-19 03:06:05,360 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv' 2024-01-19 03:06:05,361 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv' 2024-01-19 03:06:05,362 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md' 2024-01-19 03:06:05,363 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core' 2024-01-19 03:06:05,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv' 2024-01-19 03:06:05,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv' 2024-01-19 03:06:05,365 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv' 2024-01-19 03:06:05,366 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv' 2024-01-19 03:06:05,367 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv' 2024-01-19 03:06:05,368 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv' 2024-01-19 03:06:05,369 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv' 2024-01-19 03:06:05,370 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv' 2024-01-19 03:06:05,371 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv' 2024-01-19 03:06:05,372 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv' 2024-01-19 03:06:05,373 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv' 2024-01-19 03:06:05,374 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv' 2024-01-19 03:06:05,375 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv' 2024-01-19 03:06:05,376 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv' 2024-01-19 03:06:05,377 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv' 2024-01-19 03:06:05,378 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md' 2024-01-19 03:06:05,379 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core' 2024-01-19 03:06:05,380 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv' 2024-01-19 03:06:05,380 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv' 2024-01-19 03:06:05,381 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv' 2024-01-19 03:06:05,382 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv' 2024-01-19 03:06:05,383 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv' 2024-01-19 03:06:05,384 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv' 2024-01-19 03:06:05,385 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv' 2024-01-19 03:06:05,386 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv' 2024-01-19 03:06:05,387 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv' 2024-01-19 03:06:05,388 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv' 2024-01-19 03:06:05,389 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv' 2024-01-19 03:06:05,390 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md' 2024-01-19 03:06:05,391 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core' 2024-01-19 03:06:05,391 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv' 2024-01-19 03:06:05,392 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv' 2024-01-19 03:06:05,393 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv' 2024-01-19 03:06:05,394 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv' 2024-01-19 03:06:05,395 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv' 2024-01-19 03:06:05,396 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv' 2024-01-19 03:06:05,397 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv' 2024-01-19 03:06:05,398 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv' 2024-01-19 03:06:05,399 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv' 2024-01-19 03:06:05,400 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv' 2024-01-19 03:06:05,400 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv' 2024-01-19 03:06:05,401 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv' 2024-01-19 03:06:05,402 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv' 2024-01-19 03:06:05,403 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv' 2024-01-19 03:06:05,404 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv' 2024-01-19 03:06:05,405 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv' 2024-01-19 03:06:05,406 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md' 2024-01-19 03:06:05,407 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core' 2024-01-19 03:06:05,408 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv' 2024-01-19 03:06:05,409 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv' 2024-01-19 03:06:05,410 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv' 2024-01-19 03:06:05,411 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv' 2024-01-19 03:06:05,412 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv' 2024-01-19 03:06:05,413 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core' 2024-01-19 03:06:05,414 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv' 2024-01-19 03:06:05,415 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core' 2024-01-19 03:06:05,416 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc' 2024-01-19 03:06:05,417 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h' 2024-01-19 03:06:05,418 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md' 2024-01-19 03:06:05,419 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core' 2024-01-19 03:06:05,419 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core' 2024-01-19 03:06:05,420 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv' 2024-01-19 03:06:05,421 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv' 2024-01-19 03:06:05,422 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc' 2024-01-19 03:06:05,423 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh' 2024-01-19 03:06:05,424 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md' 2024-01-19 03:06:05,425 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core' 2024-01-19 03:06:05,426 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc' 2024-01-19 03:06:05,428 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv' 2024-01-19 03:06:05,429 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl' 2024-01-19 03:06:05,430 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl' 2024-01-19 03:06:05,431 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md' 2024-01-19 03:06:05,432 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc' 2024-01-19 03:06:05,433 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core' 2024-01-19 03:06:05,433 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h' 2024-01-19 03:06:05,434 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core' 2024-01-19 03:06:05,435 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc' 2024-01-19 03:06:05,436 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh' 2024-01-19 03:06:05,437 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw' 2024-01-19 03:06:05,438 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt' 2024-01-19 03:06:05,439 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv' 2024-01-19 03:06:05,440 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore' 2024-01-19 03:06:05,441 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md' 2024-01-19 03:06:05,442 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile' 2024-01-19 03:06:05,443 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c' 2024-01-19 03:06:05,445 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h' 2024-01-19 03:06:05,446 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak' 2024-01-19 03:06:05,447 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c' 2024-01-19 03:06:05,448 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile' 2024-01-19 03:06:05,449 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S' 2024-01-19 03:06:05,450 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c' 2024-01-19 03:06:05,451 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld' 2024-01-19 03:06:05,452 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk' 2024-01-19 03:06:05,453 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S' 2024-01-19 03:06:05,454 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld' 2024-01-19 03:06:05,455 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c' 2024-01-19 03:06:05,455 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h' 2024-01-19 03:06:05,456 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h' 2024-01-19 03:06:05,457 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile' 2024-01-19 03:06:05,458 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c' 2024-01-19 03:06:05,459 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/.gitignore' 2024-01-19 03:06:05,460 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile' 2024-01-19 03:06:05,461 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh' 2024-01-19 03:06:05,462 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh' 2024-01-19 03:06:05,463 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh' 2024-01-19 03:06:05,464 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh' 2024-01-19 03:06:05,464 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh' 2024-01-19 03:06:05,465 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh' 2024-01-19 03:06:05,466 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh' 2024-01-19 03:06:05,467 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh' 2024-01-19 03:06:05,468 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh' 2024-01-19 03:06:05,469 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh' 2024-01-19 03:06:05,469 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh' 2024-01-19 03:06:05,470 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh' 2024-01-19 03:06:05,471 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv' 2024-01-19 03:06:05,472 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh' 2024-01-19 03:06:05,473 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core' 2024-01-19 03:06:05,474 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh' 2024-01-19 03:06:05,475 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh' 2024-01-19 03:06:05,476 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh' 2024-01-19 03:06:05,476 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh' 2024-01-19 03:06:05,477 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2' 2024-01-19 03:06:05,478 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/Makefile' 2024-01-19 03:06:05,479 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv' 2024-01-19 03:06:05,481 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh' 2024-01-19 03:06:05,482 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core' 2024-01-19 03:06:05,482 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2' 2024-01-19 03:06:05,483 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile' 2024-01-19 03:06:05,484 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md' 2024-01-19 03:06:05,485 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw' 2024-01-19 03:06:05,486 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt' 2024-01-19 03:06:05,487 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv' 2024-01-19 03:06:05,489 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv' 2024-01-19 03:06:05,490 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv' 2024-01-19 03:06:05,491 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv' 2024-01-19 03:06:05,493 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f' 2024-01-19 03:06:05,494 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv' 2024-01-19 03:06:05,496 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv' 2024-01-19 03:06:05,497 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv' 2024-01-19 03:06:05,499 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv' 2024-01-19 03:06:05,500 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv' 2024-01-19 03:06:05,501 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv' 2024-01-19 03:06:05,502 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv' 2024-01-19 03:06:05,503 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv' 2024-01-19 03:06:05,504 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv' 2024-01-19 03:06:05,506 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv' 2024-01-19 03:06:05,508 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv' 2024-01-19 03:06:05,509 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv' 2024-01-19 03:06:05,511 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv' 2024-01-19 03:06:05,512 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv' 2024-01-19 03:06:05,513 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv' 2024-01-19 03:06:05,514 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv' 2024-01-19 03:06:05,515 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv' 2024-01-19 03:06:05,516 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv' 2024-01-19 03:06:05,517 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv' 2024-01-19 03:06:05,518 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv' 2024-01-19 03:06:05,519 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv' 2024-01-19 03:06:05,520 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv' 2024-01-19 03:06:05,522 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv' 2024-01-19 03:06:05,523 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv' 2024-01-19 03:06:05,524 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv' 2024-01-19 03:06:05,526 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv' 2024-01-19 03:06:05,527 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core' 2024-01-19 03:06:05,527 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/sim_shared.core' 2024-01-19 03:06:05,529 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv' 2024-01-19 03:06:05,529 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv' 2024-01-19 03:06:05,530 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv' 2024-01-19 03:06:05,531 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv' 2024-01-19 03:06:05,532 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv' 2024-01-19 03:06:05,533 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv' 2024-01-19 03:06:05,535 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/README.md' 2024-01-19 03:06:05,535 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc' 2024-01-19 03:06:05,536 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc' 2024-01-19 03:06:05,537 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl' 2024-01-19 03:06:05,538 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do' 2024-01-19 03:06:05,539 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh' 2024-01-19 03:06:05,540 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh' 2024-01-19 03:06:05,541 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh' 2024-01-19 03:06:05,542 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh' 2024-01-19 03:06:05,543 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py' 2024-01-19 03:06:05,543 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py' 2024-01-19 03:06:05,544 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py' 2024-01-19 03:06:05,545 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py' 2024-01-19 03:06:05,546 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v' 2024-01-19 03:06:05,547 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v' 2024-01-19 03:06:05,548 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl' 2024-01-19 03:06:05,549 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl' 2024-01-19 03:06:05,550 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl' 2024-01-19 03:06:05,551 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl' 2024-01-19 03:06:05,552 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl' 2024-01-19 03:06:05,553 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl' 2024-01-19 03:06:05,554 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl' 2024-01-19 03:06:05,554 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl' 2024-01-19 03:06:05,555 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl' 2024-01-19 03:06:05,556 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl' 2024-01-19 03:06:05,557 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/Makefile' 2024-01-19 03:06:05,558 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py' 2024-01-19 03:06:05,559 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/ibex_config.py' 2024-01-19 03:06:05,560 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core' 2024-01-19 03:06:05,561 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py' 2024-01-19 03:06:05,562 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson' 2024-01-19 03:06:05,563 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson' 2024-01-19 03:06:05,564 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson' 2024-01-19 03:06:05,565 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson' 2024-01-19 03:06:05,566 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson' 2024-01-19 03:06:05,567 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md' 2024-01-19 03:06:05,568 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile' 2024-01-19 03:06:05,569 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md' 2024-01-19 03:06:05,570 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c' 2024-01-19 03:06:05,571 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c' 2024-01-19 03:06:05,572 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c' 2024-01-19 03:06:05,573 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c' 2024-01-19 03:06:05,574 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c' 2024-01-19 03:06:05,575 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h' 2024-01-19 03:06:05,576 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5' 2024-01-19 03:06:05,577 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c' 2024-01-19 03:06:05,578 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h' 2024-01-19 03:06:05,579 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak' 2024-01-19 03:06:05,580 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c' 2024-01-19 03:06:05,581 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c' 2024-01-19 03:06:05,582 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c' 2024-01-19 03:06:05,583 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h' 2024-01-19 03:06:05,585 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak' 2024-01-19 03:06:05,586 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md' 2024-01-19 03:06:05,587 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png' 2024-01-19 03:06:05,589 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png' 2024-01-19 03:06:05,593 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html' 2024-01-19 03:06:05,595 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html' 2024-01-19 03:06:05,596 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html' 2024-01-19 03:06:05,597 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html' 2024-01-19 03:06:05,598 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html' 2024-01-19 03:06:05,600 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html' 2024-01-19 03:06:05,601 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html' 2024-01-19 03:06:05,602 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html' 2024-01-19 03:06:05,603 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html' 2024-01-19 03:06:05,604 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html' 2024-01-19 03:06:05,606 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png' 2024-01-19 03:06:05,608 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html' 2024-01-19 03:06:05,609 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html' 2024-01-19 03:06:05,611 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html' 2024-01-19 03:06:05,612 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html' 2024-01-19 03:06:05,613 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html' 2024-01-19 03:06:05,614 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html' 2024-01-19 03:06:05,615 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html' 2024-01-19 03:06:05,616 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html' 2024-01-19 03:06:05,618 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html' 2024-01-19 03:06:05,620 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html' 2024-01-19 03:06:05,621 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html' 2024-01-19 03:06:05,622 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html' 2024-01-19 03:06:05,623 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js' 2024-01-19 03:06:05,624 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js' 2024-01-19 03:06:05,626 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html' 2024-01-19 03:06:05,627 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html' 2024-01-19 03:06:05,628 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html' 2024-01-19 03:06:05,628 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html' 2024-01-19 03:06:05,629 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html' 2024-01-19 03:06:05,630 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html' 2024-01-19 03:06:05,631 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html' 2024-01-19 03:06:05,632 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html' 2024-01-19 03:06:05,633 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html' 2024-01-19 03:06:05,634 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html' 2024-01-19 03:06:05,635 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html' 2024-01-19 03:06:05,636 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html' 2024-01-19 03:06:05,636 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html' 2024-01-19 03:06:05,637 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html' 2024-01-19 03:06:05,638 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html' 2024-01-19 03:06:05,639 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html' 2024-01-19 03:06:05,640 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html' 2024-01-19 03:06:05,641 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html' 2024-01-19 03:06:05,642 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html' 2024-01-19 03:06:05,643 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html' 2024-01-19 03:06:05,644 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html' 2024-01-19 03:06:05,645 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html' 2024-01-19 03:06:05,646 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html' 2024-01-19 03:06:05,646 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html' 2024-01-19 03:06:05,647 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html' 2024-01-19 03:06:05,648 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html' 2024-01-19 03:06:05,649 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html' 2024-01-19 03:06:05,650 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html' 2024-01-19 03:06:05,651 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html' 2024-01-19 03:06:05,652 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html' 2024-01-19 03:06:05,653 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html' 2024-01-19 03:06:05,654 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html' 2024-01-19 03:06:05,655 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html' 2024-01-19 03:06:05,656 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html' 2024-01-19 03:06:05,656 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html' 2024-01-19 03:06:05,657 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html' 2024-01-19 03:06:05,658 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html' 2024-01-19 03:06:05,659 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html' 2024-01-19 03:06:05,660 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html' 2024-01-19 03:06:05,661 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html' 2024-01-19 03:06:05,662 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html' 2024-01-19 03:06:05,663 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html' 2024-01-19 03:06:05,664 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html' 2024-01-19 03:06:05,665 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html' 2024-01-19 03:06:05,665 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html' 2024-01-19 03:06:05,666 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html' 2024-01-19 03:06:05,667 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css' 2024-01-19 03:06:05,669 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css' 2024-01-19 03:06:05,669 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css' 2024-01-19 03:06:05,670 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c' 2024-01-19 03:06:05,672 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h' 2024-01-19 03:06:05,673 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak' 2024-01-19 03:06:05,674 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c' 2024-01-19 03:06:05,675 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h' 2024-01-19 03:06:05,676 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak' 2024-01-19 03:06:05,677 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c' 2024-01-19 03:06:05,678 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h' 2024-01-19 03:06:05,679 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak' 2024-01-19 03:06:05,680 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c' 2024-01-19 03:06:05,681 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h' 2024-01-19 03:06:05,682 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak' 2024-01-19 03:06:05,683 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8' 2024-01-19 03:06:05,684 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore' 2024-01-19 03:06:05,685 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json' 2024-01-19 03:06:05,686 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml' 2024-01-19 03:06:05,687 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md' 2024-01-19 03:06:05,687 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt' 2024-01-19 03:06:05,688 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in' 2024-01-19 03:06:05,689 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md' 2024-01-19 03:06:05,690 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py' 2024-01-19 03:06:05,691 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f' 2024-01-19 03:06:05,692 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f' 2024-01-19 03:06:05,693 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl' 2024-01-19 03:06:05,694 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt' 2024-01-19 03:06:05,695 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl' 2024-01-19 03:06:05,696 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py' 2024-01-19 03:06:05,698 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg' 2024-01-19 03:06:05,698 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py' 2024-01-19 03:06:05,699 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f' 2024-01-19 03:06:05,700 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml' 2024-01-19 03:06:05,701 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile' 2024-01-19 03:06:05,702 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat' 2024-01-19 03:06:05,703 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst' 2024-01-19 03:06:05,704 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst' 2024-01-19 03:06:05,705 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst' 2024-01-19 03:06:05,706 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py' 2024-01-19 03:06:05,707 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst' 2024-01-19 03:06:05,708 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst' 2024-01-19 03:06:05,709 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst' 2024-01-19 03:06:05,710 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst' 2024-01-19 03:06:05,711 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst' 2024-01-19 03:06:05,711 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst' 2024-01-19 03:06:05,712 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst' 2024-01-19 03:06:05,713 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst' 2024-01-19 03:06:05,715 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst' 2024-01-19 03:06:05,715 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst' 2024-01-19 03:06:05,716 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png' 2024-01-19 03:06:05,718 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md' 2024-01-19 03:06:05,719 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py' 2024-01-19 03:06:05,720 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py' 2024-01-19 03:06:05,721 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py' 2024-01-19 03:06:05,722 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py' 2024-01-19 03:06:05,723 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py' 2024-01-19 03:06:05,724 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py' 2024-01-19 03:06:05,725 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py' 2024-01-19 03:06:05,726 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py' 2024-01-19 03:06:05,727 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py' 2024-01-19 03:06:05,728 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py' 2024-01-19 03:06:05,730 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py' 2024-01-19 03:06:05,731 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py' 2024-01-19 03:06:05,732 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py' 2024-01-19 03:06:05,733 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py' 2024-01-19 03:06:05,734 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py' 2024-01-19 03:06:05,735 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py' 2024-01-19 03:06:05,737 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py' 2024-01-19 03:06:05,739 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py' 2024-01-19 03:06:05,740 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py' 2024-01-19 03:06:05,742 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py' 2024-01-19 03:06:05,743 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py' 2024-01-19 03:06:05,744 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py' 2024-01-19 03:06:05,745 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py' 2024-01-19 03:06:05,746 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py' 2024-01-19 03:06:05,747 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py' 2024-01-19 03:06:05,748 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py' 2024-01-19 03:06:05,749 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py' 2024-01-19 03:06:05,750 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py' 2024-01-19 03:06:05,751 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py' 2024-01-19 03:06:05,752 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py' 2024-01-19 03:06:05,753 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py' 2024-01-19 03:06:05,754 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py' 2024-01-19 03:06:05,756 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py' 2024-01-19 03:06:05,757 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py' 2024-01-19 03:06:05,758 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py' 2024-01-19 03:06:05,759 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py' 2024-01-19 03:06:05,760 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py' 2024-01-19 03:06:05,761 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py' 2024-01-19 03:06:05,762 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py' 2024-01-19 03:06:05,763 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py' 2024-01-19 03:06:05,764 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py' 2024-01-19 03:06:05,765 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py' 2024-01-19 03:06:05,766 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py' 2024-01-19 03:06:05,767 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic' 2024-01-19 03:06:05,768 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py' 2024-01-19 03:06:05,769 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic' 2024-01-19 03:06:05,770 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py' 2024-01-19 03:06:05,771 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic' 2024-01-19 03:06:05,772 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py' 2024-01-19 03:06:05,773 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic' 2024-01-19 03:06:05,774 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py' 2024-01-19 03:06:05,775 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic' 2024-01-19 03:06:05,776 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py' 2024-01-19 03:06:05,777 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py' 2024-01-19 03:06:05,778 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py' 2024-01-19 03:06:05,779 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py' 2024-01-19 03:06:05,780 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status' 2024-01-19 03:06:05,781 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py' 2024-01-19 03:06:05,782 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py' 2024-01-19 03:06:05,783 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py' 2024-01-19 03:06:05,784 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py' 2024-01-19 03:06:05,785 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld' 2024-01-19 03:06:05,786 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py' 2024-01-19 03:06:05,787 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py' 2024-01-19 03:06:05,788 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py' 2024-01-19 03:06:05,789 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py' 2024-01-19 03:06:05,790 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py' 2024-01-19 03:06:05,791 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py' 2024-01-19 03:06:05,792 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py' 2024-01-19 03:06:05,793 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py' 2024-01-19 03:06:05,794 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py' 2024-01-19 03:06:05,796 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py' 2024-01-19 03:06:05,797 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh' 2024-01-19 03:06:05,798 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv' 2024-01-19 03:06:05,799 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv' 2024-01-19 03:06:05,801 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv' 2024-01-19 03:06:05,802 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv' 2024-01-19 03:06:05,803 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv' 2024-01-19 03:06:05,804 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh' 2024-01-19 03:06:05,805 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv' 2024-01-19 03:06:05,806 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv' 2024-01-19 03:06:05,811 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv' 2024-01-19 03:06:05,813 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv' 2024-01-19 03:06:05,815 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv' 2024-01-19 03:06:05,816 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv' 2024-01-19 03:06:05,817 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv' 2024-01-19 03:06:05,818 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv' 2024-01-19 03:06:05,820 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv' 2024-01-19 03:06:05,821 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv' 2024-01-19 03:06:05,822 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv' 2024-01-19 03:06:05,823 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv' 2024-01-19 03:06:05,824 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv' 2024-01-19 03:06:05,825 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv' 2024-01-19 03:06:05,827 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv' 2024-01-19 03:06:05,828 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv' 2024-01-19 03:06:05,829 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv' 2024-01-19 03:06:05,830 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv' 2024-01-19 03:06:05,831 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv' 2024-01-19 03:06:05,832 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv' 2024-01-19 03:06:05,833 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv' 2024-01-19 03:06:05,834 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv' 2024-01-19 03:06:05,835 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv' 2024-01-19 03:06:05,836 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv' 2024-01-19 03:06:05,838 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv' 2024-01-19 03:06:05,839 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh' 2024-01-19 03:06:05,840 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv' 2024-01-19 03:06:05,841 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv' 2024-01-19 03:06:05,842 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv' 2024-01-19 03:06:05,843 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv' 2024-01-19 03:06:05,844 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv' 2024-01-19 03:06:05,845 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv' 2024-01-19 03:06:05,846 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv' 2024-01-19 03:06:05,847 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv' 2024-01-19 03:06:05,848 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv' 2024-01-19 03:06:05,849 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv' 2024-01-19 03:06:05,850 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv' 2024-01-19 03:06:05,850 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv' 2024-01-19 03:06:05,852 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv' 2024-01-19 03:06:05,852 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv' 2024-01-19 03:06:05,853 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv' 2024-01-19 03:06:05,854 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv' 2024-01-19 03:06:05,855 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv' 2024-01-19 03:06:05,856 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv' 2024-01-19 03:06:05,857 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv' 2024-01-19 03:06:05,858 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv' 2024-01-19 03:06:05,859 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv' 2024-01-19 03:06:05,860 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv' 2024-01-19 03:06:05,860 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv' 2024-01-19 03:06:05,862 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic' 2024-01-19 03:06:05,862 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv' 2024-01-19 03:06:05,863 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml' 2024-01-19 03:06:05,864 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic' 2024-01-19 03:06:05,865 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv' 2024-01-19 03:06:05,866 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml' 2024-01-19 03:06:05,867 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic' 2024-01-19 03:06:05,868 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv' 2024-01-19 03:06:05,869 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml' 2024-01-19 03:06:05,870 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv' 2024-01-19 03:06:05,871 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml' 2024-01-19 03:06:05,872 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic' 2024-01-19 03:06:05,873 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv' 2024-01-19 03:06:05,874 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml' 2024-01-19 03:06:05,875 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic' 2024-01-19 03:06:05,876 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv' 2024-01-19 03:06:05,877 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml' 2024-01-19 03:06:05,878 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic' 2024-01-19 03:06:05,879 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv' 2024-01-19 03:06:05,880 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml' 2024-01-19 03:06:05,881 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic' 2024-01-19 03:06:05,882 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv' 2024-01-19 03:06:05,883 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml' 2024-01-19 03:06:05,884 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic' 2024-01-19 03:06:05,885 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv' 2024-01-19 03:06:05,886 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml' 2024-01-19 03:06:05,887 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic' 2024-01-19 03:06:05,888 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv' 2024-01-19 03:06:05,888 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml' 2024-01-19 03:06:05,889 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic' 2024-01-19 03:06:05,890 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv' 2024-01-19 03:06:05,891 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml' 2024-01-19 03:06:05,892 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv' 2024-01-19 03:06:05,893 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv' 2024-01-19 03:06:05,894 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv' 2024-01-19 03:06:05,895 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv' 2024-01-19 03:06:05,896 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv' 2024-01-19 03:06:05,897 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv' 2024-01-19 03:06:05,898 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h' 2024-01-19 03:06:05,899 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh' 2024-01-19 03:06:05,900 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s' 2024-01-19 03:06:05,901 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh' 2024-01-19 03:06:05,902 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f' 2024-01-19 03:06:05,903 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh' 2024-01-19 03:06:05,904 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml' 2024-01-19 03:06:05,905 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml' 2024-01-19 03:06:05,905 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml' 2024-01-19 03:06:05,906 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml' 2024-01-19 03:06:05,907 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml' 2024-01-19 03:06:05,909 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv' 2024-01-19 03:06:05,910 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv' 2024-01-19 03:06:05,911 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core' 2024-01-19 03:06:05,912 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md' 2024-01-19 03:06:05,912 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv' 2024-01-19 03:06:05,913 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg' 2024-01-19 03:06:05,914 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core' 2024-01-19 03:06:05,916 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md' 2024-01-19 03:06:05,917 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv' 2024-01-19 03:06:05,918 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core' 2024-01-19 03:06:05,919 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv' 2024-01-19 03:06:05,920 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv' 2024-01-19 03:06:05,921 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv' 2024-01-19 03:06:05,922 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core' 2024-01-19 03:06:05,923 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv' 2024-01-19 03:06:05,924 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv' 2024-01-19 03:06:05,925 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv' 2024-01-19 03:06:05,926 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv' 2024-01-19 03:06:05,927 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv' 2024-01-19 03:06:05,928 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md' 2024-01-19 03:06:05,929 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv' 2024-01-19 03:06:05,930 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv' 2024-01-19 03:06:05,931 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv' 2024-01-19 03:06:05,932 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv' 2024-01-19 03:06:05,933 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv' 2024-01-19 03:06:05,934 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv' 2024-01-19 03:06:05,935 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv' 2024-01-19 03:06:05,936 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv' 2024-01-19 03:06:05,937 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv' 2024-01-19 03:06:05,937 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv' 2024-01-19 03:06:05,938 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv' 2024-01-19 03:06:05,939 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv' 2024-01-19 03:06:05,940 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv' 2024-01-19 03:06:05,941 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv' 2024-01-19 03:06:05,942 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core' 2024-01-19 03:06:05,943 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv' 2024-01-19 03:06:05,944 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md' 2024-01-19 03:06:05,945 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core' 2024-01-19 03:06:05,946 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh' 2024-01-19 03:06:05,947 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core' 2024-01-19 03:06:05,948 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh' 2024-01-19 03:06:05,949 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv' 2024-01-19 03:06:05,950 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core' 2024-01-19 03:06:05,951 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv' 2024-01-19 03:06:05,951 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core' 2024-01-19 03:06:05,952 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv' 2024-01-19 03:06:05,953 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv' 2024-01-19 03:06:05,954 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md' 2024-01-19 03:06:05,955 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core' 2024-01-19 03:06:05,956 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv' 2024-01-19 03:06:05,957 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv' 2024-01-19 03:06:05,958 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md' 2024-01-19 03:06:05,959 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core' 2024-01-19 03:06:05,960 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv' 2024-01-19 03:06:05,961 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md' 2024-01-19 03:06:05,962 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl' 2024-01-19 03:06:05,963 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl' 2024-01-19 03:06:05,964 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl' 2024-01-19 03:06:05,965 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson' 2024-01-19 03:06:05,966 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson' 2024-01-19 03:06:05,967 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson' 2024-01-19 03:06:05,967 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson' 2024-01-19 03:06:05,968 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson' 2024-01-19 03:06:05,969 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk' 2024-01-19 03:06:05,970 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson' 2024-01-19 03:06:05,971 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson' 2024-01-19 03:06:05,972 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson' 2024-01-19 03:06:05,973 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson' 2024-01-19 03:06:05,974 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson' 2024-01-19 03:06:05,975 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson' 2024-01-19 03:06:05,976 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson' 2024-01-19 03:06:05,977 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson' 2024-01-19 03:06:05,978 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson' 2024-01-19 03:06:05,979 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson' 2024-01-19 03:06:05,980 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson' 2024-01-19 03:06:05,981 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson' 2024-01-19 03:06:05,982 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson' 2024-01-19 03:06:05,982 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson' 2024-01-19 03:06:05,983 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson' 2024-01-19 03:06:05,984 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson' 2024-01-19 03:06:05,985 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson' 2024-01-19 03:06:05,986 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson' 2024-01-19 03:06:05,987 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson' 2024-01-19 03:06:05,988 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md' 2024-01-19 03:06:05,989 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core' 2024-01-19 03:06:05,990 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py' 2024-01-19 03:06:05,991 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do' 2024-01-19 03:06:05,992 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el' 2024-01-19 03:06:05,992 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg' 2024-01-19 03:06:05,993 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg' 2024-01-19 03:06:05,994 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg' 2024-01-19 03:06:05,995 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg' 2024-01-19 03:06:05,996 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl' 2024-01-19 03:06:05,997 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl' 2024-01-19 03:06:05,998 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl' 2024-01-19 03:06:05,999 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg' 2024-01-19 03:06:06,000 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf' 2024-01-19 03:06:06,001 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md' 2024-01-19 03:06:06,001 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core' 2024-01-19 03:06:06,002 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core' 2024-01-19 03:06:06,003 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson' 2024-01-19 03:06:06,004 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core' 2024-01-19 03:06:06,005 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc' 2024-01-19 03:06:06,006 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h' 2024-01-19 03:06:06,007 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc' 2024-01-19 03:06:06,008 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h' 2024-01-19 03:06:06,009 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc' 2024-01-19 03:06:06,010 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h' 2024-01-19 03:06:06,011 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h' 2024-01-19 03:06:06,012 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc' 2024-01-19 03:06:06,013 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h' 2024-01-19 03:06:06,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc' 2024-01-19 03:06:06,015 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h' 2024-01-19 03:06:06,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc' 2024-01-19 03:06:06,017 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h' 2024-01-19 03:06:06,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core' 2024-01-19 03:06:06,019 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h' 2024-01-19 03:06:06,020 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc' 2024-01-19 03:06:06,021 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h' 2024-01-19 03:06:06,022 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc' 2024-01-19 03:06:06,023 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h' 2024-01-19 03:06:06,024 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md' 2024-01-19 03:06:06,025 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core' 2024-01-19 03:06:06,026 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core' 2024-01-19 03:06:06,027 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core' 2024-01-19 03:06:06,028 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core' 2024-01-19 03:06:06,029 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core' 2024-01-19 03:06:06,029 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core' 2024-01-19 03:06:06,030 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core' 2024-01-19 03:06:06,031 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core' 2024-01-19 03:06:06,032 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core' 2024-01-19 03:06:06,033 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core' 2024-01-19 03:06:06,034 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core' 2024-01-19 03:06:06,035 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core' 2024-01-19 03:06:06,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core' 2024-01-19 03:06:06,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core' 2024-01-19 03:06:06,037 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core' 2024-01-19 03:06:06,038 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core' 2024-01-19 03:06:06,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core' 2024-01-19 03:06:06,040 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core' 2024-01-19 03:06:06,041 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core' 2024-01-19 03:06:06,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core' 2024-01-19 03:06:06,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core' 2024-01-19 03:06:06,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core' 2024-01-19 03:06:06,044 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core' 2024-01-19 03:06:06,045 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core' 2024-01-19 03:06:06,046 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core' 2024-01-19 03:06:06,047 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core' 2024-01-19 03:06:06,048 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core' 2024-01-19 03:06:06,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core' 2024-01-19 03:06:06,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core' 2024-01-19 03:06:06,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core' 2024-01-19 03:06:06,051 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core' 2024-01-19 03:06:06,052 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core' 2024-01-19 03:06:06,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core' 2024-01-19 03:06:06,054 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core' 2024-01-19 03:06:06,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core' 2024-01-19 03:06:06,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core' 2024-01-19 03:06:06,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core' 2024-01-19 03:06:06,057 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core' 2024-01-19 03:06:06,058 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core' 2024-01-19 03:06:06,059 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core' 2024-01-19 03:06:06,060 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core' 2024-01-19 03:06:06,061 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core' 2024-01-19 03:06:06,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core' 2024-01-19 03:06:06,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core' 2024-01-19 03:06:06,063 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core' 2024-01-19 03:06:06,064 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core' 2024-01-19 03:06:06,065 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core' 2024-01-19 03:06:06,066 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core' 2024-01-19 03:06:06,067 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core' 2024-01-19 03:06:06,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core' 2024-01-19 03:06:06,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core' 2024-01-19 03:06:06,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core' 2024-01-19 03:06:06,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core' 2024-01-19 03:06:06,071 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md' 2024-01-19 03:06:06,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md' 2024-01-19 03:06:06,073 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md' 2024-01-19 03:06:06,074 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md' 2024-01-19 03:06:06,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md' 2024-01-19 03:06:06,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md' 2024-01-19 03:06:06,077 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md' 2024-01-19 03:06:06,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core' 2024-01-19 03:06:06,079 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson' 2024-01-19 03:06:06,080 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el' 2024-01-19 03:06:06,081 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg' 2024-01-19 03:06:06,082 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv' 2024-01-19 03:06:06,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core' 2024-01-19 03:06:06,084 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson' 2024-01-19 03:06:06,085 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc' 2024-01-19 03:06:06,086 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc' 2024-01-19 03:06:06,087 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c' 2024-01-19 03:06:06,088 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core' 2024-01-19 03:06:06,089 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv' 2024-01-19 03:06:06,090 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc' 2024-01-19 03:06:06,091 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc' 2024-01-19 03:06:06,092 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg' 2024-01-19 03:06:06,093 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv' 2024-01-19 03:06:06,094 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core' 2024-01-19 03:06:06,095 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson' 2024-01-19 03:06:06,096 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c' 2024-01-19 03:06:06,097 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core' 2024-01-19 03:06:06,098 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv' 2024-01-19 03:06:06,099 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson' 2024-01-19 03:06:06,100 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core' 2024-01-19 03:06:06,100 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h' 2024-01-19 03:06:06,102 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg' 2024-01-19 03:06:06,103 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv' 2024-01-19 03:06:06,104 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc' 2024-01-19 03:06:06,105 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core' 2024-01-19 03:06:06,106 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h' 2024-01-19 03:06:06,107 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c' 2024-01-19 03:06:06,108 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core' 2024-01-19 03:06:06,109 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h' 2024-01-19 03:06:06,110 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core' 2024-01-19 03:06:06,111 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core' 2024-01-19 03:06:06,112 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core' 2024-01-19 03:06:06,112 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core' 2024-01-19 03:06:06,113 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core' 2024-01-19 03:06:06,114 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core' 2024-01-19 03:06:06,115 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core' 2024-01-19 03:06:06,116 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core' 2024-01-19 03:06:06,117 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core' 2024-01-19 03:06:06,118 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core' 2024-01-19 03:06:06,119 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core' 2024-01-19 03:06:06,119 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core' 2024-01-19 03:06:06,120 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core' 2024-01-19 03:06:06,121 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core' 2024-01-19 03:06:06,122 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core' 2024-01-19 03:06:06,123 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core' 2024-01-19 03:06:06,124 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core' 2024-01-19 03:06:06,125 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core' 2024-01-19 03:06:06,126 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core' 2024-01-19 03:06:06,126 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core' 2024-01-19 03:06:06,128 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv' 2024-01-19 03:06:06,128 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv' 2024-01-19 03:06:06,129 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv' 2024-01-19 03:06:06,130 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv' 2024-01-19 03:06:06,131 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv' 2024-01-19 03:06:06,132 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv' 2024-01-19 03:06:06,133 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv' 2024-01-19 03:06:06,134 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv' 2024-01-19 03:06:06,135 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv' 2024-01-19 03:06:06,136 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv' 2024-01-19 03:06:06,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv' 2024-01-19 03:06:06,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv' 2024-01-19 03:06:06,139 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv' 2024-01-19 03:06:06,140 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv' 2024-01-19 03:06:06,141 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv' 2024-01-19 03:06:06,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv' 2024-01-19 03:06:06,143 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv' 2024-01-19 03:06:06,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv' 2024-01-19 03:06:06,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv' 2024-01-19 03:06:06,145 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv' 2024-01-19 03:06:06,146 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv' 2024-01-19 03:06:06,147 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv' 2024-01-19 03:06:06,148 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv' 2024-01-19 03:06:06,149 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv' 2024-01-19 03:06:06,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv' 2024-01-19 03:06:06,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv' 2024-01-19 03:06:06,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv' 2024-01-19 03:06:06,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv' 2024-01-19 03:06:06,153 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv' 2024-01-19 03:06:06,154 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv' 2024-01-19 03:06:06,155 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv' 2024-01-19 03:06:06,156 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv' 2024-01-19 03:06:06,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv' 2024-01-19 03:06:06,158 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv' 2024-01-19 03:06:06,159 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv' 2024-01-19 03:06:06,160 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv' 2024-01-19 03:06:06,161 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv' 2024-01-19 03:06:06,162 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv' 2024-01-19 03:06:06,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv' 2024-01-19 03:06:06,164 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv' 2024-01-19 03:06:06,165 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv' 2024-01-19 03:06:06,165 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv' 2024-01-19 03:06:06,166 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv' 2024-01-19 03:06:06,167 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv' 2024-01-19 03:06:06,168 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv' 2024-01-19 03:06:06,169 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv' 2024-01-19 03:06:06,170 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt' 2024-01-19 03:06:06,171 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver' 2024-01-19 03:06:06,172 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt' 2024-01-19 03:06:06,173 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver' 2024-01-19 03:06:06,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver' 2024-01-19 03:06:06,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver' 2024-01-19 03:06:06,175 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver' 2024-01-19 03:06:06,176 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver' 2024-01-19 03:06:06,177 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver' 2024-01-19 03:06:06,178 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver' 2024-01-19 03:06:06,179 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver' 2024-01-19 03:06:06,180 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt' 2024-01-19 03:06:06,180 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver' 2024-01-19 03:06:06,181 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver' 2024-01-19 03:06:06,182 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver' 2024-01-19 03:06:06,183 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver' 2024-01-19 03:06:06,184 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver' 2024-01-19 03:06:06,185 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver' 2024-01-19 03:06:06,186 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver' 2024-01-19 03:06:06,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver' 2024-01-19 03:06:06,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver' 2024-01-19 03:06:06,188 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver' 2024-01-19 03:06:06,189 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt' 2024-01-19 03:06:06,190 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver' 2024-01-19 03:06:06,191 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver' 2024-01-19 03:06:06,192 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt' 2024-01-19 03:06:06,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver' 2024-01-19 03:06:06,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver' 2024-01-19 03:06:06,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md' 2024-01-19 03:06:06,195 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core' 2024-01-19 03:06:06,196 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc' 2024-01-19 03:06:06,197 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv' 2024-01-19 03:06:06,199 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv' 2024-01-19 03:06:06,200 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv' 2024-01-19 03:06:06,201 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv' 2024-01-19 03:06:06,202 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv' 2024-01-19 03:06:06,203 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv' 2024-01-19 03:06:06,204 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv' 2024-01-19 03:06:06,205 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv' 2024-01-19 03:06:06,206 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh' 2024-01-19 03:06:06,207 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh' 2024-01-19 03:06:06,208 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh' 2024-01-19 03:06:06,209 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv' 2024-01-19 03:06:06,210 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv' 2024-01-19 03:06:06,211 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv' 2024-01-19 03:06:06,212 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv' 2024-01-19 03:06:06,213 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv' 2024-01-19 03:06:06,214 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv' 2024-01-19 03:06:06,215 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv' 2024-01-19 03:06:06,215 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv' 2024-01-19 03:06:06,217 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv' 2024-01-19 03:06:06,218 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv' 2024-01-19 03:06:06,219 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv' 2024-01-19 03:06:06,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv' 2024-01-19 03:06:06,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv' 2024-01-19 03:06:06,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv' 2024-01-19 03:06:06,222 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv' 2024-01-19 03:06:06,223 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv' 2024-01-19 03:06:06,224 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv' 2024-01-19 03:06:06,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv' 2024-01-19 03:06:06,226 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv' 2024-01-19 03:06:06,227 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv' 2024-01-19 03:06:06,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv' 2024-01-19 03:06:06,229 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv' 2024-01-19 03:06:06,230 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv' 2024-01-19 03:06:06,231 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv' 2024-01-19 03:06:06,232 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv' 2024-01-19 03:06:06,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv' 2024-01-19 03:06:06,234 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv' 2024-01-19 03:06:06,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv' 2024-01-19 03:06:06,236 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv' 2024-01-19 03:06:06,237 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv' 2024-01-19 03:06:06,238 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv' 2024-01-19 03:06:06,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv' 2024-01-19 03:06:06,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv' 2024-01-19 03:06:06,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv' 2024-01-19 03:06:06,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv' 2024-01-19 03:06:06,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv' 2024-01-19 03:06:06,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv' 2024-01-19 03:06:06,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv' 2024-01-19 03:06:06,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv' 2024-01-19 03:06:06,247 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv' 2024-01-19 03:06:06,247 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv' 2024-01-19 03:06:06,248 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv' 2024-01-19 03:06:06,249 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv' 2024-01-19 03:06:06,250 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv' 2024-01-19 03:06:06,251 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv' 2024-01-19 03:06:06,252 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv' 2024-01-19 03:06:06,253 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv' 2024-01-19 03:06:06,254 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv' 2024-01-19 03:06:06,255 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv' 2024-01-19 03:06:06,256 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv' 2024-01-19 03:06:06,256 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv' 2024-01-19 03:06:06,257 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv' 2024-01-19 03:06:06,258 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv' 2024-01-19 03:06:06,259 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv' 2024-01-19 03:06:06,260 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv' 2024-01-19 03:06:06,261 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv' 2024-01-19 03:06:06,262 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv' 2024-01-19 03:06:06,263 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv' 2024-01-19 03:06:06,264 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv' 2024-01-19 03:06:06,265 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv' 2024-01-19 03:06:06,266 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv' 2024-01-19 03:06:06,267 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv' 2024-01-19 03:06:06,268 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv' 2024-01-19 03:06:06,269 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv' 2024-01-19 03:06:06,270 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv' 2024-01-19 03:06:06,271 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh' 2024-01-19 03:06:06,271 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh' 2024-01-19 03:06:06,272 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv' 2024-01-19 03:06:06,273 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py' 2024-01-19 03:06:06,275 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl' 2024-01-19 03:06:06,276 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl' 2024-01-19 03:06:06,276 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl' 2024-01-19 03:06:06,277 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson' 2024-01-19 03:06:06,278 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson' 2024-01-19 03:06:06,279 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD' 2024-01-19 03:06:06,280 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py' 2024-01-19 03:06:06,281 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py' 2024-01-19 03:06:06,282 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py' 2024-01-19 03:06:06,283 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py' 2024-01-19 03:06:06,285 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core' 2024-01-19 03:06:06,285 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core' 2024-01-19 03:06:06,286 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core' 2024-01-19 03:06:06,287 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core' 2024-01-19 03:06:06,288 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core' 2024-01-19 03:06:06,289 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core' 2024-01-19 03:06:06,290 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core' 2024-01-19 03:06:06,291 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core' 2024-01-19 03:06:06,292 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core' 2024-01-19 03:06:06,292 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core' 2024-01-19 03:06:06,293 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core' 2024-01-19 03:06:06,294 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core' 2024-01-19 03:06:06,295 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core' 2024-01-19 03:06:06,296 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core' 2024-01-19 03:06:06,297 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core' 2024-01-19 03:06:06,298 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core' 2024-01-19 03:06:06,299 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core' 2024-01-19 03:06:06,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt' 2024-01-19 03:06:06,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver' 2024-01-19 03:06:06,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt' 2024-01-19 03:06:06,302 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver' 2024-01-19 03:06:06,303 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt' 2024-01-19 03:06:06,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver' 2024-01-19 03:06:06,305 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt' 2024-01-19 03:06:06,306 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver' 2024-01-19 03:06:06,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt' 2024-01-19 03:06:06,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver' 2024-01-19 03:06:06,308 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt' 2024-01-19 03:06:06,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver' 2024-01-19 03:06:06,310 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt' 2024-01-19 03:06:06,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver' 2024-01-19 03:06:06,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt' 2024-01-19 03:06:06,313 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver' 2024-01-19 03:06:06,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv' 2024-01-19 03:06:06,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv' 2024-01-19 03:06:06,315 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv' 2024-01-19 03:06:06,316 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv' 2024-01-19 03:06:06,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv' 2024-01-19 03:06:06,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv' 2024-01-19 03:06:06,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv' 2024-01-19 03:06:06,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv' 2024-01-19 03:06:06,321 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv' 2024-01-19 03:06:06,322 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv' 2024-01-19 03:06:06,323 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv' 2024-01-19 03:06:06,324 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv' 2024-01-19 03:06:06,325 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv' 2024-01-19 03:06:06,326 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv' 2024-01-19 03:06:06,327 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv' 2024-01-19 03:06:06,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv' 2024-01-19 03:06:06,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv' 2024-01-19 03:06:06,329 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv' 2024-01-19 03:06:06,330 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core' 2024-01-19 03:06:06,331 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core' 2024-01-19 03:06:06,332 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core' 2024-01-19 03:06:06,333 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core' 2024-01-19 03:06:06,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core' 2024-01-19 03:06:06,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core' 2024-01-19 03:06:06,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core' 2024-01-19 03:06:06,336 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core' 2024-01-19 03:06:06,337 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core' 2024-01-19 03:06:06,338 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt' 2024-01-19 03:06:06,339 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver' 2024-01-19 03:06:06,340 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt' 2024-01-19 03:06:06,341 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver' 2024-01-19 03:06:06,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt' 2024-01-19 03:06:06,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver' 2024-01-19 03:06:06,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt' 2024-01-19 03:06:06,344 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver' 2024-01-19 03:06:06,345 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv' 2024-01-19 03:06:06,346 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv' 2024-01-19 03:06:06,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv' 2024-01-19 03:06:06,348 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv' 2024-01-19 03:06:06,349 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv' 2024-01-19 03:06:06,350 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv' 2024-01-19 03:06:06,350 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv' 2024-01-19 03:06:06,351 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv' 2024-01-19 03:06:06,352 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv' 2024-01-19 03:06:06,353 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core' 2024-01-19 03:06:06,354 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core' 2024-01-19 03:06:06,355 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md' 2024-01-19 03:06:06,356 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl' 2024-01-19 03:06:06,357 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver' 2024-01-19 03:06:06,358 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver' 2024-01-19 03:06:06,359 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py' 2024-01-19 03:06:06,360 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson' 2024-01-19 03:06:06,361 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson' 2024-01-19 03:06:06,362 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk' 2024-01-19 03:06:06,363 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson' 2024-01-19 03:06:06,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson' 2024-01-19 03:06:06,365 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py' 2024-01-19 03:06:06,365 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl' 2024-01-19 03:06:06,366 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt' 2024-01-19 03:06:06,367 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt' 2024-01-19 03:06:06,368 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py' 2024-01-19 03:06:06,369 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py' 2024-01-19 03:06:06,370 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py' 2024-01-19 03:06:06,372 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py' 2024-01-19 03:06:06,373 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py' 2024-01-19 03:06:06,374 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py' 2024-01-19 03:06:06,375 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py' 2024-01-19 03:06:06,376 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py' 2024-01-19 03:06:06,377 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py' 2024-01-19 03:06:06,378 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py' 2024-01-19 03:06:06,379 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py' 2024-01-19 03:06:06,380 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile' 2024-01-19 03:06:06,381 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py' 2024-01-19 03:06:06,383 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py' 2024-01-19 03:06:06,384 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py' 2024-01-19 03:06:06,385 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py' 2024-01-19 03:06:06,386 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py' 2024-01-19 03:06:06,387 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py' 2024-01-19 03:06:06,388 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py' 2024-01-19 03:06:06,390 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py' 2024-01-19 03:06:06,391 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py' 2024-01-19 03:06:06,392 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py' 2024-01-19 03:06:06,393 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py' 2024-01-19 03:06:06,394 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py' 2024-01-19 03:06:06,395 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css' 2024-01-19 03:06:06,396 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py' 2024-01-19 03:06:06,397 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py' 2024-01-19 03:06:06,398 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py' 2024-01-19 03:06:06,399 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md' 2024-01-19 03:06:06,400 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson' 2024-01-19 03:06:06,401 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md' 2024-01-19 03:06:06,402 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson' 2024-01-19 03:06:06,403 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson' 2024-01-19 03:06:06,404 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md' 2024-01-19 03:06:06,406 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl' 2024-01-19 03:06:06,406 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py' 2024-01-19 03:06:06,407 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl' 2024-01-19 03:06:06,408 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl' 2024-01-19 03:06:06,409 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl' 2024-01-19 03:06:06,410 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl' 2024-01-19 03:06:06,411 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl' 2024-01-19 03:06:06,412 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl' 2024-01-19 03:06:06,413 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl' 2024-01-19 03:06:06,413 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl' 2024-01-19 03:06:06,414 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl' 2024-01-19 03:06:06,415 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl' 2024-01-19 03:06:06,416 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl' 2024-01-19 03:06:06,417 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl' 2024-01-19 03:06:06,418 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl' 2024-01-19 03:06:06,419 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl' 2024-01-19 03:06:06,420 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl' 2024-01-19 03:06:06,421 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl' 2024-01-19 03:06:06,422 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl' 2024-01-19 03:06:06,422 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl' 2024-01-19 03:06:06,423 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl' 2024-01-19 03:06:06,424 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py' 2024-01-19 03:06:06,425 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py' 2024-01-19 03:06:06,426 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl' 2024-01-19 03:06:06,427 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl' 2024-01-19 03:06:06,428 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl' 2024-01-19 03:06:06,429 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl' 2024-01-19 03:06:06,430 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl' 2024-01-19 03:06:06,431 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl' 2024-01-19 03:06:06,431 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl' 2024-01-19 03:06:06,432 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl' 2024-01-19 03:06:06,433 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl' 2024-01-19 03:06:06,434 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl' 2024-01-19 03:06:06,435 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl' 2024-01-19 03:06:06,436 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl' 2024-01-19 03:06:06,437 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl' 2024-01-19 03:06:06,438 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl' 2024-01-19 03:06:06,438 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl' 2024-01-19 03:06:06,439 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py' 2024-01-19 03:06:06,440 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl' 2024-01-19 03:06:06,441 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl' 2024-01-19 03:06:06,442 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch' 2024-01-19 03:06:06,443 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch' 2024-01-19 03:06:06,444 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch' 2024-01-19 03:06:06,445 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch' 2024-01-19 03:06:06,446 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch' 2024-01-19 03:06:06,448 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/LICENSE' 2024-01-19 03:06:06,449 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/METADATA' 2024-01-19 03:06:06,449 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/WHEEL' 2024-01-19 03:06:06,450 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/top_level.txt' 2024-01-19 03:06:06,494 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/RECORD' 2024-01-19 03:06:06,525 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:06,598 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-lm32 2024-01-19 03:06:07,681 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:07,867 root INFO running bdist_wheel 2024-01-19 03:06:07,942 root INFO running build 2024-01-19 03:06:07,943 root INFO running build_py 2024-01-19 03:06:07,954 root INFO creating build 2024-01-19 03:06:07,954 root INFO creating build/lib 2024-01-19 03:06:07,954 root INFO creating build/lib/pythondata_cpu_lm32 2024-01-19 03:06:07,955 root INFO copying pythondata_cpu_lm32/__init__.py -> build/lib/pythondata_cpu_lm32 2024-01-19 03:06:07,959 root INFO running egg_info 2024-01-19 03:06:07,960 root INFO creating pythondata_cpu_lm32.egg-info 2024-01-19 03:06:07,966 root INFO writing pythondata_cpu_lm32.egg-info/PKG-INFO 2024-01-19 03:06:07,969 root INFO writing dependency_links to pythondata_cpu_lm32.egg-info/dependency_links.txt 2024-01-19 03:06:07,970 root INFO writing top-level names to pythondata_cpu_lm32.egg-info/top_level.txt 2024-01-19 03:06:07,971 root INFO writing manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-01-19 03:06:07,985 root INFO reading manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-01-19 03:06:07,986 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:07,995 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:08,004 root INFO writing manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-01-19 03:06:08,022 root INFO creating build/lib/pythondata_cpu_lm32/verilog 2024-01-19 03:06:08,023 root INFO copying pythondata_cpu_lm32/verilog/LICENSE.LATTICE -> build/lib/pythondata_cpu_lm32/verilog 2024-01-19 03:06:08,024 root INFO copying pythondata_cpu_lm32/verilog/README -> build/lib/pythondata_cpu_lm32/verilog 2024-01-19 03:06:08,024 root INFO creating build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,025 root INFO copying pythondata_cpu_lm32/verilog/rtl/jtag_cores.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,026 root INFO copying pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,027 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_adder.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,027 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,028 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,029 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,030 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,031 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_debug.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,032 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,033 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,034 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,035 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_icache.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,035 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_include.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,036 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,037 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,038 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,039 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,040 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,041 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,041 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,042 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,043 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_ram.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,044 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,045 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_top.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,045 root INFO creating build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,046 root INFO copying pythondata_cpu_lm32/verilog/test/.gitignore -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,047 root INFO copying pythondata_cpu_lm32/verilog/test/Makefile -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,048 root INFO copying pythondata_cpu_lm32/verilog/test/crt.S -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,048 root INFO copying pythondata_cpu_lm32/verilog/test/hello_world.c -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,049 root INFO copying pythondata_cpu_lm32/verilog/test/linker.ld -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,050 root INFO copying pythondata_cpu_lm32/verilog/test/lm32_config.v -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,051 root INFO copying pythondata_cpu_lm32/verilog/test/pipe1.S -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,052 root INFO copying pythondata_cpu_lm32/verilog/test/tb_lm32_system.v -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,052 root INFO creating build/lib/pythondata_cpu_lm32/verilog/doc 2024-01-19 03:06:08,053 root INFO copying pythondata_cpu_lm32/verilog/doc/Makefile -> build/lib/pythondata_cpu_lm32/verilog/doc 2024-01-19 03:06:08,054 root INFO copying pythondata_cpu_lm32/verilog/doc/mmu.rst -> build/lib/pythondata_cpu_lm32/verilog/doc 2024-01-19 03:06:08,055 root INFO creating build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,055 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/.gitignore -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,056 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/Makefile -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,057 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/crt.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,057 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/linker.ld -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,058 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/macros.inc -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,059 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_add.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,060 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_addi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,061 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_and.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,061 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,062 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_andi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,063 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_b.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,064 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_be.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,064 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bg.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,065 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bge.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,066 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,067 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,067 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,068 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bne.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,069 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_break.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,070 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,070 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_call.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,071 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_calli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,072 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,073 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,073 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,074 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,075 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,075 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,076 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,077 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,078 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,078 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,079 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,080 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,081 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_divu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,081 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_eret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,082 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,083 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,084 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lh.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,084 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,085 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lw.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,086 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,087 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_modu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,087 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_mul.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,088 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_muli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,089 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_nor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,090 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_nori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,090 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_or.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,091 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,092 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_ori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,093 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_ret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,093 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,094 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_scall.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,095 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,096 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,096 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sh.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,097 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sl.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,098 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,099 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sr.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,099 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sri.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,100 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sru.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,101 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_srui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,102 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sub.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,102 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sw.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,103 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,104 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,105 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,105 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,131 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:08,131 root INFO running install 2024-01-19 03:06:08,194 root INFO running install_lib 2024-01-19 03:06:08,203 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:08,203 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:08,204 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32 2024-01-19 03:06:08,204 root INFO copying build/lib/pythondata_cpu_lm32/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32 2024-01-19 03:06:08,205 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog 2024-01-19 03:06:08,205 root INFO copying build/lib/pythondata_cpu_lm32/verilog/LICENSE.LATTICE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog 2024-01-19 03:06:08,206 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,207 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,207 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,208 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,209 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,210 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,210 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,211 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,212 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,212 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_include.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,213 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,214 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,214 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,215 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,216 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,216 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,217 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,218 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,219 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_debug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,220 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/jtag_cores.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,220 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,221 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,222 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_icache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,222 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_adder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,223 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 03:06:08,224 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,224 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/pipe1.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,225 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/lm32_config.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,225 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,226 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,227 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,228 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,228 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,229 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,229 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,230 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_nori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,231 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,231 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sru.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,232 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,233 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_calli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,233 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,234 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,235 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/macros.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,235 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,236 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,237 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_be.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,237 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,238 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sri.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,239 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,239 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,240 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,240 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_muli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,241 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,242 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,242 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,243 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,244 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,244 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_modu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,245 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,246 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_ret.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,246 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,247 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bret.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,248 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,248 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,249 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,250 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_nor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,250 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,251 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,252 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,252 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,253 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,254 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,254 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,255 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,256 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,256 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_b.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,257 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,257 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,258 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,259 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,259 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_call.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,260 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,261 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,261 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,262 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bg.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,263 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_eret.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,263 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,264 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,265 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,265 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,266 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,267 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,267 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,268 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,269 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,269 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_srui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,270 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/crt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,271 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,271 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,272 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_break.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 03:06:08,273 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/tb_lm32_system.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,273 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,274 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/crt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 03:06:08,275 root INFO copying build/lib/pythondata_cpu_lm32/verilog/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog 2024-01-19 03:06:08,275 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/doc 2024-01-19 03:06:08,276 root INFO copying build/lib/pythondata_cpu_lm32/verilog/doc/mmu.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/doc 2024-01-19 03:06:08,277 root INFO copying build/lib/pythondata_cpu_lm32/verilog/doc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/doc 2024-01-19 03:06:08,277 root INFO running install_egg_info 2024-01-19 03:06:08,293 root INFO Copying pythondata_cpu_lm32.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32-0.0.post106-py3.11.egg-info 2024-01-19 03:06:08,297 root INFO running install_scripts 2024-01-19 03:06:08,305 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32-0.0.post106.dist-info/WHEEL 2024-01-19 03:06:08,307 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-hwikvl4p/pythondata_cpu_lm32-0.0.post106-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:08,310 wheel INFO adding 'pythondata_cpu_lm32/__init__.py' 2024-01-19 03:06:08,314 wheel INFO adding 'pythondata_cpu_lm32/verilog/LICENSE.LATTICE' 2024-01-19 03:06:08,316 wheel INFO adding 'pythondata_cpu_lm32/verilog/README' 2024-01-19 03:06:08,317 wheel INFO adding 'pythondata_cpu_lm32/verilog/doc/Makefile' 2024-01-19 03:06:08,318 wheel INFO adding 'pythondata_cpu_lm32/verilog/doc/mmu.rst' 2024-01-19 03:06:08,319 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/jtag_cores.v' 2024-01-19 03:06:08,320 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v' 2024-01-19 03:06:08,321 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_adder.v' 2024-01-19 03:06:08,322 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v' 2024-01-19 03:06:08,323 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample' 2024-01-19 03:06:08,325 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v' 2024-01-19 03:06:08,327 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v' 2024-01-19 03:06:08,329 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_debug.v' 2024-01-19 03:06:08,330 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v' 2024-01-19 03:06:08,331 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v' 2024-01-19 03:06:08,332 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v' 2024-01-19 03:06:08,333 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_icache.v' 2024-01-19 03:06:08,334 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_include.v' 2024-01-19 03:06:08,335 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v' 2024-01-19 03:06:08,337 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v' 2024-01-19 03:06:08,338 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v' 2024-01-19 03:06:08,339 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v' 2024-01-19 03:06:08,340 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v' 2024-01-19 03:06:08,342 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v' 2024-01-19 03:06:08,343 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v' 2024-01-19 03:06:08,344 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v' 2024-01-19 03:06:08,345 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_ram.v' 2024-01-19 03:06:08,346 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v' 2024-01-19 03:06:08,347 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_top.v' 2024-01-19 03:06:08,348 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/.gitignore' 2024-01-19 03:06:08,349 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/Makefile' 2024-01-19 03:06:08,350 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/crt.S' 2024-01-19 03:06:08,350 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/hello_world.c' 2024-01-19 03:06:08,351 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/linker.ld' 2024-01-19 03:06:08,352 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/lm32_config.v' 2024-01-19 03:06:08,353 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/pipe1.S' 2024-01-19 03:06:08,354 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/tb_lm32_system.v' 2024-01-19 03:06:08,355 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/.gitignore' 2024-01-19 03:06:08,356 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/Makefile' 2024-01-19 03:06:08,357 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/crt.S' 2024-01-19 03:06:08,358 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/linker.ld' 2024-01-19 03:06:08,359 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/macros.inc' 2024-01-19 03:06:08,360 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_add.S' 2024-01-19 03:06:08,361 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_addi.S' 2024-01-19 03:06:08,362 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_and.S' 2024-01-19 03:06:08,362 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S' 2024-01-19 03:06:08,363 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_andi.S' 2024-01-19 03:06:08,364 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_b.S' 2024-01-19 03:06:08,365 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_be.S' 2024-01-19 03:06:08,366 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bg.S' 2024-01-19 03:06:08,367 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bge.S' 2024-01-19 03:06:08,368 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S' 2024-01-19 03:06:08,368 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S' 2024-01-19 03:06:08,369 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bi.S' 2024-01-19 03:06:08,370 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bne.S' 2024-01-19 03:06:08,371 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_break.S' 2024-01-19 03:06:08,372 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bret.S' 2024-01-19 03:06:08,373 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_call.S' 2024-01-19 03:06:08,373 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_calli.S' 2024-01-19 03:06:08,374 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S' 2024-01-19 03:06:08,375 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S' 2024-01-19 03:06:08,376 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S' 2024-01-19 03:06:08,377 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S' 2024-01-19 03:06:08,378 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S' 2024-01-19 03:06:08,379 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S' 2024-01-19 03:06:08,380 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S' 2024-01-19 03:06:08,381 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S' 2024-01-19 03:06:08,382 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S' 2024-01-19 03:06:08,383 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S' 2024-01-19 03:06:08,383 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S' 2024-01-19 03:06:08,384 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S' 2024-01-19 03:06:08,385 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_divu.S' 2024-01-19 03:06:08,386 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_eret.S' 2024-01-19 03:06:08,387 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lb.S' 2024-01-19 03:06:08,388 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S' 2024-01-19 03:06:08,389 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lh.S' 2024-01-19 03:06:08,389 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S' 2024-01-19 03:06:08,390 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lw.S' 2024-01-19 03:06:08,391 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S' 2024-01-19 03:06:08,392 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_modu.S' 2024-01-19 03:06:08,393 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_mul.S' 2024-01-19 03:06:08,394 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_muli.S' 2024-01-19 03:06:08,395 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_nor.S' 2024-01-19 03:06:08,396 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_nori.S' 2024-01-19 03:06:08,396 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_or.S' 2024-01-19 03:06:08,397 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S' 2024-01-19 03:06:08,398 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_ori.S' 2024-01-19 03:06:08,399 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_ret.S' 2024-01-19 03:06:08,400 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sb.S' 2024-01-19 03:06:08,401 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_scall.S' 2024-01-19 03:06:08,401 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S' 2024-01-19 03:06:08,402 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S' 2024-01-19 03:06:08,403 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sh.S' 2024-01-19 03:06:08,404 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sl.S' 2024-01-19 03:06:08,405 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sli.S' 2024-01-19 03:06:08,406 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sr.S' 2024-01-19 03:06:08,407 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sri.S' 2024-01-19 03:06:08,407 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sru.S' 2024-01-19 03:06:08,408 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_srui.S' 2024-01-19 03:06:08,409 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sub.S' 2024-01-19 03:06:08,410 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sw.S' 2024-01-19 03:06:08,411 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S' 2024-01-19 03:06:08,412 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S' 2024-01-19 03:06:08,412 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xor.S' 2024-01-19 03:06:08,413 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xori.S' 2024-01-19 03:06:08,415 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/METADATA' 2024-01-19 03:06:08,415 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/WHEEL' 2024-01-19 03:06:08,416 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/top_level.txt' 2024-01-19 03:06:08,421 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/RECORD' 2024-01-19 03:06:08,424 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:08,430 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_lm32-0.0.post106-py3-none-any.whl pythondata_cpu_lm32-0.0.post106-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-marocchino 2024-01-19 03:06:09,505 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:09,691 root INFO running bdist_wheel 2024-01-19 03:06:09,766 root INFO running build 2024-01-19 03:06:09,766 root INFO running build_py 2024-01-19 03:06:09,777 root INFO creating build 2024-01-19 03:06:09,778 root INFO creating build/lib 2024-01-19 03:06:09,778 root INFO creating build/lib/pythondata_cpu_marocchino 2024-01-19 03:06:09,779 root INFO copying pythondata_cpu_marocchino/__init__.py -> build/lib/pythondata_cpu_marocchino 2024-01-19 03:06:09,784 root INFO running egg_info 2024-01-19 03:06:09,784 root INFO creating pythondata_cpu_marocchino.egg-info 2024-01-19 03:06:09,791 root INFO writing pythondata_cpu_marocchino.egg-info/PKG-INFO 2024-01-19 03:06:09,793 root INFO writing dependency_links to pythondata_cpu_marocchino.egg-info/dependency_links.txt 2024-01-19 03:06:09,795 root INFO writing top-level names to pythondata_cpu_marocchino.egg-info/top_level.txt 2024-01-19 03:06:09,795 root INFO writing manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-01-19 03:06:09,811 root INFO reading manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-01-19 03:06:09,812 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:09,818 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:09,818 root INFO adding license file 'LICENSE' 2024-01-19 03:06:09,825 root INFO writing manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-01-19 03:06:09,837 root INFO creating build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,837 root INFO copying pythondata_cpu_marocchino/verilog/.travis.yml -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,838 root INFO copying pythondata_cpu_marocchino/verilog/Jenkinsfile -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,839 root INFO copying pythondata_cpu_marocchino/verilog/LICENSE -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,840 root INFO copying pythondata_cpu_marocchino/verilog/README.md -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,841 root INFO copying pythondata_cpu_marocchino/verilog/or1k_marocchino.core -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,842 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:09,842 root INFO copying pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:09,843 root INFO copying pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:09,844 root INFO copying pythondata_cpu_marocchino/verilog/.travis/test.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:09,845 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/bench 2024-01-19 03:06:09,845 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 03:06:09,845 root INFO copying pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v -> build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 03:06:09,846 root INFO copying pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v -> build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 03:06:09,847 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl 2024-01-19 03:06:09,847 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,848 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,849 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,850 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,850 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,851 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,852 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,853 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,854 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,855 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,856 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,857 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,858 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,859 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,860 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,861 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,861 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,862 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,863 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,864 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,865 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,866 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,867 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,868 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,869 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,869 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,870 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,871 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,872 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,873 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,873 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,874 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,875 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,875 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,876 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,877 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,878 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,879 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,879 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,880 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/doc 2024-01-19 03:06:09,881 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:09,881 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:09,882 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:09,882 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:09,883 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:09,909 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:09,909 root INFO running install 2024-01-19 03:06:09,972 root INFO running install_lib 2024-01-19 03:06:09,981 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:09,981 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:09,982 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino 2024-01-19 03:06:09,982 root INFO copying build/lib/pythondata_cpu_marocchino/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino 2024-01-19 03:06:09,983 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,983 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,984 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/or1k_marocchino.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,985 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,985 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:09,986 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench 2024-01-19 03:06:09,987 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 03:06:09,987 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 03:06:09,988 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 03:06:09,989 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl 2024-01-19 03:06:09,989 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,990 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,990 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,991 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,992 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,993 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,994 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:09,994 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,995 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,996 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,996 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,997 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,998 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,998 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:09,999 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:10,000 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:10,001 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 03:06:10,001 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,002 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,003 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,003 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,004 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,005 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,006 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,006 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,007 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,008 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,008 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,009 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,010 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,010 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,011 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,012 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,012 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,013 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,014 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,015 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,015 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,016 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 03:06:10,017 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:10,017 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:10,018 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:10,019 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 03:06:10,019 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 03:06:10,020 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc 2024-01-19 03:06:10,021 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:10,021 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:10,022 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:10,022 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:10,023 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 03:06:10,024 root INFO running install_egg_info 2024-01-19 03:06:10,040 root INFO Copying pythondata_cpu_marocchino.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino-0.0.post209-py3.11.egg-info 2024-01-19 03:06:10,044 root INFO running install_scripts 2024-01-19 03:06:10,052 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino-0.0.post209.dist-info/WHEEL 2024-01-19 03:06:10,055 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-hgsztr0y/pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:10,057 wheel INFO adding 'pythondata_cpu_marocchino/__init__.py' 2024-01-19 03:06:10,061 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis.yml' 2024-01-19 03:06:10,062 wheel INFO adding 'pythondata_cpu_marocchino/verilog/Jenkinsfile' 2024-01-19 03:06:10,063 wheel INFO adding 'pythondata_cpu_marocchino/verilog/LICENSE' 2024-01-19 03:06:10,064 wheel INFO adding 'pythondata_cpu_marocchino/verilog/README.md' 2024-01-19 03:06:10,066 wheel INFO adding 'pythondata_cpu_marocchino/verilog/or1k_marocchino.core' 2024-01-19 03:06:10,067 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh' 2024-01-19 03:06:10,068 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh' 2024-01-19 03:06:10,069 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/test.sh' 2024-01-19 03:06:10,070 wheel INFO adding 'pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v' 2024-01-19 03:06:10,071 wheel INFO adding 'pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v' 2024-01-19 03:06:10,073 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt' 2024-01-19 03:06:10,074 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt' 2024-01-19 03:06:10,075 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt' 2024-01-19 03:06:10,076 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt' 2024-01-19 03:06:10,077 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v' 2024-01-19 03:06:10,078 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v' 2024-01-19 03:06:10,079 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v' 2024-01-19 03:06:10,080 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v' 2024-01-19 03:06:10,082 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v' 2024-01-19 03:06:10,083 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v' 2024-01-19 03:06:10,086 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v' 2024-01-19 03:06:10,088 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v' 2024-01-19 03:06:10,090 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v' 2024-01-19 03:06:10,091 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v' 2024-01-19 03:06:10,093 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v' 2024-01-19 03:06:10,094 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v' 2024-01-19 03:06:10,096 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v' 2024-01-19 03:06:10,097 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v' 2024-01-19 03:06:10,098 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v' 2024-01-19 03:06:10,100 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v' 2024-01-19 03:06:10,101 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v' 2024-01-19 03:06:10,102 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v' 2024-01-19 03:06:10,104 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v' 2024-01-19 03:06:10,106 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v' 2024-01-19 03:06:10,107 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v' 2024-01-19 03:06:10,108 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v' 2024-01-19 03:06:10,109 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v' 2024-01-19 03:06:10,111 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v' 2024-01-19 03:06:10,112 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v' 2024-01-19 03:06:10,113 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v' 2024-01-19 03:06:10,114 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v' 2024-01-19 03:06:10,115 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh' 2024-01-19 03:06:10,116 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v' 2024-01-19 03:06:10,118 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v' 2024-01-19 03:06:10,119 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v' 2024-01-19 03:06:10,120 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v' 2024-01-19 03:06:10,121 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v' 2024-01-19 03:06:10,122 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v' 2024-01-19 03:06:10,123 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v' 2024-01-19 03:06:10,125 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v' 2024-01-19 03:06:10,127 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v' 2024-01-19 03:06:10,129 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/LICENSE' 2024-01-19 03:06:10,130 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/METADATA' 2024-01-19 03:06:10,130 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/WHEEL' 2024-01-19 03:06:10,131 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/top_level.txt' 2024-01-19 03:06:10,134 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/RECORD' 2024-01-19 03:06:10,136 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:10,141 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-microwatt 2024-01-19 03:06:11,223 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:11,434 root INFO running bdist_wheel 2024-01-19 03:06:11,510 root INFO running build 2024-01-19 03:06:11,510 root INFO running build_py 2024-01-19 03:06:11,521 root INFO creating build 2024-01-19 03:06:11,522 root INFO creating build/lib 2024-01-19 03:06:11,522 root INFO creating build/lib/pythondata_cpu_microwatt 2024-01-19 03:06:11,523 root INFO copying pythondata_cpu_microwatt/__init__.py -> build/lib/pythondata_cpu_microwatt 2024-01-19 03:06:11,525 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:11,526 root INFO copying pythondata_cpu_microwatt/vhdl/run.py -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:11,529 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 03:06:11,530 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 03:06:11,548 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 03:06:11,549 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 03:06:11,551 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,552 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,553 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,554 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,554 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/dependencies.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,555 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,556 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,557 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,558 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:11,567 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 03:06:11,567 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:11,568 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:11,569 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:11,570 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:11,573 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:11,574 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:11,578 root INFO running egg_info 2024-01-19 03:06:11,579 root INFO creating pythondata_cpu_microwatt.egg-info 2024-01-19 03:06:11,585 root INFO writing pythondata_cpu_microwatt.egg-info/PKG-INFO 2024-01-19 03:06:11,588 root INFO writing dependency_links to pythondata_cpu_microwatt.egg-info/dependency_links.txt 2024-01-19 03:06:11,589 root INFO writing top-level names to pythondata_cpu_microwatt.egg-info/top_level.txt 2024-01-19 03:06:11,590 root INFO writing manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-01-19 03:06:11,670 root INFO reading manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-01-19 03:06:11,671 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:11,815 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:11,815 root INFO adding license file 'LICENSE' 2024-01-19 03:06:11,980 root INFO writing manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-01-19 03:06:12,327 root INFO copying pythondata_cpu_microwatt/vhdl/.gitignore -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,327 root INFO copying pythondata_cpu_microwatt/vhdl/LICENSE -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,328 root INFO copying pythondata_cpu_microwatt/vhdl/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,329 root INFO copying pythondata_cpu_microwatt/vhdl/README.md -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,330 root INFO copying pythondata_cpu_microwatt/vhdl/cache_ram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,331 root INFO copying pythondata_cpu_microwatt/vhdl/common.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,332 root INFO copying pythondata_cpu_microwatt/vhdl/control.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,333 root INFO copying pythondata_cpu_microwatt/vhdl/core.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,334 root INFO copying pythondata_cpu_microwatt/vhdl/core_debug.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,334 root INFO copying pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,335 root INFO copying pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,336 root INFO copying pythondata_cpu_microwatt/vhdl/core_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,337 root INFO copying pythondata_cpu_microwatt/vhdl/countbits.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,338 root INFO copying pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,338 root INFO copying pythondata_cpu_microwatt/vhdl/cr_file.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,339 root INFO copying pythondata_cpu_microwatt/vhdl/crhelpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,340 root INFO copying pythondata_cpu_microwatt/vhdl/dcache.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,341 root INFO copying pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,342 root INFO copying pythondata_cpu_microwatt/vhdl/decode1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,343 root INFO copying pythondata_cpu_microwatt/vhdl/decode2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,344 root INFO copying pythondata_cpu_microwatt/vhdl/decode_types.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,345 root INFO copying pythondata_cpu_microwatt/vhdl/divider.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,346 root INFO copying pythondata_cpu_microwatt/vhdl/divider_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,346 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,347 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,348 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,349 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,350 root INFO copying pythondata_cpu_microwatt/vhdl/dram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,351 root INFO copying pythondata_cpu_microwatt/vhdl/execute1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,352 root INFO copying pythondata_cpu_microwatt/vhdl/fetch1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,352 root INFO copying pythondata_cpu_microwatt/vhdl/foreign_random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,353 root INFO copying pythondata_cpu_microwatt/vhdl/fpu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,354 root INFO copying pythondata_cpu_microwatt/vhdl/git.vhdl.in -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,355 root INFO copying pythondata_cpu_microwatt/vhdl/glibc_random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,356 root INFO copying pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,356 root INFO copying pythondata_cpu_microwatt/vhdl/gpio.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,357 root INFO copying pythondata_cpu_microwatt/vhdl/helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,358 root INFO copying pythondata_cpu_microwatt/vhdl/icache.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,359 root INFO copying pythondata_cpu_microwatt/vhdl/icache_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,360 root INFO copying pythondata_cpu_microwatt/vhdl/icache_test.bin -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,360 root INFO copying pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,361 root INFO copying pythondata_cpu_microwatt/vhdl/loadstore1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,362 root INFO copying pythondata_cpu_microwatt/vhdl/logical.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,363 root INFO copying pythondata_cpu_microwatt/vhdl/microwatt.core -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,363 root INFO copying pythondata_cpu_microwatt/vhdl/mmu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,364 root INFO copying pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,365 root INFO copying pythondata_cpu_microwatt/vhdl/multiply.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,366 root INFO copying pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,367 root INFO copying pythondata_cpu_microwatt/vhdl/nonrandom.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,367 root INFO copying pythondata_cpu_microwatt/vhdl/plru_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,368 root INFO copying pythondata_cpu_microwatt/vhdl/plrufn.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,369 root INFO copying pythondata_cpu_microwatt/vhdl/pmu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,370 root INFO copying pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,370 root INFO copying pythondata_cpu_microwatt/vhdl/predecode.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,371 root INFO copying pythondata_cpu_microwatt/vhdl/random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,372 root INFO copying pythondata_cpu_microwatt/vhdl/register_file.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,373 root INFO copying pythondata_cpu_microwatt/vhdl/rotator.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,374 root INFO copying pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,374 root INFO copying pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,375 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,376 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,377 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,377 root INFO copying pythondata_cpu_microwatt/vhdl/sim_console.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,378 root INFO copying pythondata_cpu_microwatt/vhdl/sim_console_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,379 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,380 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,380 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,381 root INFO copying pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,382 root INFO copying pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,383 root INFO copying pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,383 root INFO copying pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,384 root INFO copying pythondata_cpu_microwatt/vhdl/soc.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,385 root INFO copying pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,386 root INFO copying pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,387 root INFO copying pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,387 root INFO copying pythondata_cpu_microwatt/vhdl/syscon.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,388 root INFO copying pythondata_cpu_microwatt/vhdl/utils.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,389 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,390 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,390 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,391 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,392 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,393 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,393 root INFO copying pythondata_cpu_microwatt/vhdl/writeback.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,394 root INFO copying pythondata_cpu_microwatt/vhdl/xics.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,395 root INFO copying pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,396 root INFO copying pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:12,396 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/.github 2024-01-19 03:06:12,397 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 03:06:12,397 root INFO copying pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml -> build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 03:06:12,398 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,398 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,399 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,400 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,401 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,401 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,402 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,403 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,404 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,405 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,405 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,406 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:12,407 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:12,407 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.bin -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:12,409 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.elf -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:12,413 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.hex -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:12,416 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:12,416 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:12,417 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:12,418 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:12,419 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:12,419 root INFO copying pythondata_cpu_microwatt/vhdl/include/console.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:12,420 root INFO copying pythondata_cpu_microwatt/vhdl/include/io.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:12,421 root INFO copying pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:12,422 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,422 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/LICENSE -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,423 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,424 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,425 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,425 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,426 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,427 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,428 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,429 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,429 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/firmware.hex -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,433 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,433 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,434 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,435 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,436 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,436 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,437 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,438 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,439 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,439 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,440 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,441 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,442 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,442 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,443 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,444 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,445 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,446 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,446 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,447 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,448 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:12,449 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 03:06:12,450 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,450 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,452 root INFO copying pythondata_cpu_microwatt/vhdl/tests/10.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,453 root INFO copying pythondata_cpu_microwatt/vhdl/tests/10.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/100.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,455 root INFO copying pythondata_cpu_microwatt/vhdl/tests/100.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1000.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1000.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/101.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,458 root INFO copying pythondata_cpu_microwatt/vhdl/tests/101.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,459 root INFO copying pythondata_cpu_microwatt/vhdl/tests/102.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/102.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/103.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,462 root INFO copying pythondata_cpu_microwatt/vhdl/tests/103.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,463 root INFO copying pythondata_cpu_microwatt/vhdl/tests/104.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/104.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/105.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/105.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,466 root INFO copying pythondata_cpu_microwatt/vhdl/tests/106.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,467 root INFO copying pythondata_cpu_microwatt/vhdl/tests/106.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/107.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/107.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/108.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/108.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,471 root INFO copying pythondata_cpu_microwatt/vhdl/tests/109.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,472 root INFO copying pythondata_cpu_microwatt/vhdl/tests/109.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,473 root INFO copying pythondata_cpu_microwatt/vhdl/tests/11.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,474 root INFO copying pythondata_cpu_microwatt/vhdl/tests/11.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/110.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,476 root INFO copying pythondata_cpu_microwatt/vhdl/tests/110.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,477 root INFO copying pythondata_cpu_microwatt/vhdl/tests/111.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/111.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/112.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,479 root INFO copying pythondata_cpu_microwatt/vhdl/tests/112.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,480 root INFO copying pythondata_cpu_microwatt/vhdl/tests/113.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,481 root INFO copying pythondata_cpu_microwatt/vhdl/tests/113.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,482 root INFO copying pythondata_cpu_microwatt/vhdl/tests/114.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/114.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/115.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/115.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,485 root INFO copying pythondata_cpu_microwatt/vhdl/tests/116.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,486 root INFO copying pythondata_cpu_microwatt/vhdl/tests/116.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,487 root INFO copying pythondata_cpu_microwatt/vhdl/tests/117.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/117.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,489 root INFO copying pythondata_cpu_microwatt/vhdl/tests/118.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/118.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/119.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/119.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,492 root INFO copying pythondata_cpu_microwatt/vhdl/tests/12.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/12.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,494 root INFO copying pythondata_cpu_microwatt/vhdl/tests/120.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,495 root INFO copying pythondata_cpu_microwatt/vhdl/tests/120.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,496 root INFO copying pythondata_cpu_microwatt/vhdl/tests/121.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/121.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/122.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/122.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,499 root INFO copying pythondata_cpu_microwatt/vhdl/tests/123.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,500 root INFO copying pythondata_cpu_microwatt/vhdl/tests/123.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/124.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/124.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/125.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,503 root INFO copying pythondata_cpu_microwatt/vhdl/tests/125.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,504 root INFO copying pythondata_cpu_microwatt/vhdl/tests/126.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/126.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,506 root INFO copying pythondata_cpu_microwatt/vhdl/tests/127.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/127.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,508 root INFO copying pythondata_cpu_microwatt/vhdl/tests/128.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,509 root INFO copying pythondata_cpu_microwatt/vhdl/tests/128.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/129.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/129.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,511 root INFO copying pythondata_cpu_microwatt/vhdl/tests/13.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/13.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,513 root INFO copying pythondata_cpu_microwatt/vhdl/tests/130.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/130.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,515 root INFO copying pythondata_cpu_microwatt/vhdl/tests/131.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/131.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/132.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,517 root INFO copying pythondata_cpu_microwatt/vhdl/tests/132.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,518 root INFO copying pythondata_cpu_microwatt/vhdl/tests/133.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/133.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/134.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,521 root INFO copying pythondata_cpu_microwatt/vhdl/tests/134.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/135.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/135.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/136.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/136.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,525 root INFO copying pythondata_cpu_microwatt/vhdl/tests/137.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/137.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,527 root INFO copying pythondata_cpu_microwatt/vhdl/tests/138.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,528 root INFO copying pythondata_cpu_microwatt/vhdl/tests/138.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/139.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,530 root INFO copying pythondata_cpu_microwatt/vhdl/tests/139.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,530 root INFO copying pythondata_cpu_microwatt/vhdl/tests/14.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/14.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,532 root INFO copying pythondata_cpu_microwatt/vhdl/tests/140.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/140.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,534 root INFO copying pythondata_cpu_microwatt/vhdl/tests/141.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,535 root INFO copying pythondata_cpu_microwatt/vhdl/tests/141.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/142.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/142.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/143.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,538 root INFO copying pythondata_cpu_microwatt/vhdl/tests/143.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,539 root INFO copying pythondata_cpu_microwatt/vhdl/tests/144.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/144.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/145.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,542 root INFO copying pythondata_cpu_microwatt/vhdl/tests/145.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,543 root INFO copying pythondata_cpu_microwatt/vhdl/tests/146.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/146.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/147.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,545 root INFO copying pythondata_cpu_microwatt/vhdl/tests/147.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/148.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/148.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,548 root INFO copying pythondata_cpu_microwatt/vhdl/tests/149.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/149.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,550 root INFO copying pythondata_cpu_microwatt/vhdl/tests/15.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/15.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/150.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,552 root INFO copying pythondata_cpu_microwatt/vhdl/tests/150.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/151.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,554 root INFO copying pythondata_cpu_microwatt/vhdl/tests/151.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/152.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/152.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/153.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,557 root INFO copying pythondata_cpu_microwatt/vhdl/tests/153.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/154.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,559 root INFO copying pythondata_cpu_microwatt/vhdl/tests/154.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,560 root INFO copying pythondata_cpu_microwatt/vhdl/tests/155.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/155.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,562 root INFO copying pythondata_cpu_microwatt/vhdl/tests/156.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/156.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/157.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,564 root INFO copying pythondata_cpu_microwatt/vhdl/tests/157.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,565 root INFO copying pythondata_cpu_microwatt/vhdl/tests/158.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,566 root INFO copying pythondata_cpu_microwatt/vhdl/tests/158.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,567 root INFO copying pythondata_cpu_microwatt/vhdl/tests/159.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/159.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,569 root INFO copying pythondata_cpu_microwatt/vhdl/tests/16.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/16.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/160.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,571 root INFO copying pythondata_cpu_microwatt/vhdl/tests/160.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,572 root INFO copying pythondata_cpu_microwatt/vhdl/tests/161.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/161.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,574 root INFO copying pythondata_cpu_microwatt/vhdl/tests/162.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/162.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,576 root INFO copying pythondata_cpu_microwatt/vhdl/tests/163.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/163.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/164.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,578 root INFO copying pythondata_cpu_microwatt/vhdl/tests/164.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,579 root INFO copying pythondata_cpu_microwatt/vhdl/tests/165.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/165.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,581 root INFO copying pythondata_cpu_microwatt/vhdl/tests/166.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,582 root INFO copying pythondata_cpu_microwatt/vhdl/tests/166.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,583 root INFO copying pythondata_cpu_microwatt/vhdl/tests/167.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/167.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/168.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/168.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,586 root INFO copying pythondata_cpu_microwatt/vhdl/tests/169.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/169.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,588 root INFO copying pythondata_cpu_microwatt/vhdl/tests/17.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,589 root INFO copying pythondata_cpu_microwatt/vhdl/tests/17.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/170.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,591 root INFO copying pythondata_cpu_microwatt/vhdl/tests/170.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,591 root INFO copying pythondata_cpu_microwatt/vhdl/tests/171.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/171.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,593 root INFO copying pythondata_cpu_microwatt/vhdl/tests/172.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/172.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,595 root INFO copying pythondata_cpu_microwatt/vhdl/tests/173.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,596 root INFO copying pythondata_cpu_microwatt/vhdl/tests/173.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/174.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,598 root INFO copying pythondata_cpu_microwatt/vhdl/tests/174.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,598 root INFO copying pythondata_cpu_microwatt/vhdl/tests/175.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,599 root INFO copying pythondata_cpu_microwatt/vhdl/tests/175.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,600 root INFO copying pythondata_cpu_microwatt/vhdl/tests/176.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/176.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,602 root INFO copying pythondata_cpu_microwatt/vhdl/tests/177.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/177.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/178.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/178.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/179.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,606 root INFO copying pythondata_cpu_microwatt/vhdl/tests/179.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,607 root INFO copying pythondata_cpu_microwatt/vhdl/tests/18.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/18.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,609 root INFO copying pythondata_cpu_microwatt/vhdl/tests/180.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/180.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/181.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/181.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/182.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/182.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/183.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/183.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/184.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/184.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,618 root INFO copying pythondata_cpu_microwatt/vhdl/tests/185.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/185.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/186.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,620 root INFO copying pythondata_cpu_microwatt/vhdl/tests/186.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/187.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/187.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/188.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/188.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/189.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/189.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/19.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/19.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/190.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/190.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/191.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,631 root INFO copying pythondata_cpu_microwatt/vhdl/tests/191.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,632 root INFO copying pythondata_cpu_microwatt/vhdl/tests/192.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/192.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/193.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/193.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,635 root INFO copying pythondata_cpu_microwatt/vhdl/tests/194.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/194.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,637 root INFO copying pythondata_cpu_microwatt/vhdl/tests/195.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/195.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,639 root INFO copying pythondata_cpu_microwatt/vhdl/tests/196.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/196.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/197.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/197.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,642 root INFO copying pythondata_cpu_microwatt/vhdl/tests/198.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/198.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,644 root INFO copying pythondata_cpu_microwatt/vhdl/tests/199.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,645 root INFO copying pythondata_cpu_microwatt/vhdl/tests/199.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,646 root INFO copying pythondata_cpu_microwatt/vhdl/tests/2.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/2.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/20.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/20.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,649 root INFO copying pythondata_cpu_microwatt/vhdl/tests/200.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/200.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,651 root INFO copying pythondata_cpu_microwatt/vhdl/tests/201.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,652 root INFO copying pythondata_cpu_microwatt/vhdl/tests/201.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/202.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,654 root INFO copying pythondata_cpu_microwatt/vhdl/tests/202.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,654 root INFO copying pythondata_cpu_microwatt/vhdl/tests/203.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/203.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,656 root INFO copying pythondata_cpu_microwatt/vhdl/tests/204.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,657 root INFO copying pythondata_cpu_microwatt/vhdl/tests/204.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,658 root INFO copying pythondata_cpu_microwatt/vhdl/tests/205.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,659 root INFO copying pythondata_cpu_microwatt/vhdl/tests/205.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,660 root INFO copying pythondata_cpu_microwatt/vhdl/tests/206.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/206.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/207.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/207.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,663 root INFO copying pythondata_cpu_microwatt/vhdl/tests/208.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/208.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,665 root INFO copying pythondata_cpu_microwatt/vhdl/tests/209.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,666 root INFO copying pythondata_cpu_microwatt/vhdl/tests/209.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/21.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/21.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/210.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/210.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,670 root INFO copying pythondata_cpu_microwatt/vhdl/tests/211.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,671 root INFO copying pythondata_cpu_microwatt/vhdl/tests/211.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,672 root INFO copying pythondata_cpu_microwatt/vhdl/tests/212.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,673 root INFO copying pythondata_cpu_microwatt/vhdl/tests/212.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,674 root INFO copying pythondata_cpu_microwatt/vhdl/tests/213.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,675 root INFO copying pythondata_cpu_microwatt/vhdl/tests/213.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/214.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/214.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/215.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,678 root INFO copying pythondata_cpu_microwatt/vhdl/tests/215.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,679 root INFO copying pythondata_cpu_microwatt/vhdl/tests/216.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,680 root INFO copying pythondata_cpu_microwatt/vhdl/tests/216.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,681 root INFO copying pythondata_cpu_microwatt/vhdl/tests/217.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/217.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/218.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/218.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/219.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,685 root INFO copying pythondata_cpu_microwatt/vhdl/tests/219.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/22.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,687 root INFO copying pythondata_cpu_microwatt/vhdl/tests/22.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/220.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,689 root INFO copying pythondata_cpu_microwatt/vhdl/tests/220.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/221.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/221.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/222.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,692 root INFO copying pythondata_cpu_microwatt/vhdl/tests/222.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,693 root INFO copying pythondata_cpu_microwatt/vhdl/tests/223.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,694 root INFO copying pythondata_cpu_microwatt/vhdl/tests/223.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,695 root INFO copying pythondata_cpu_microwatt/vhdl/tests/224.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/224.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/225.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/225.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/226.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,699 root INFO copying pythondata_cpu_microwatt/vhdl/tests/226.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/227.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,701 root INFO copying pythondata_cpu_microwatt/vhdl/tests/227.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/228.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/228.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/229.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/229.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/23.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/23.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/230.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,708 root INFO copying pythondata_cpu_microwatt/vhdl/tests/230.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/231.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/231.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/232.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/232.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/233.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,713 root INFO copying pythondata_cpu_microwatt/vhdl/tests/233.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/234.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/234.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/235.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/235.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/236.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/236.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/237.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,720 root INFO copying pythondata_cpu_microwatt/vhdl/tests/237.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/238.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/238.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,723 root INFO copying pythondata_cpu_microwatt/vhdl/tests/239.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/239.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/24.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/24.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/240.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/240.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/241.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/241.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/242.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/242.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/243.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/243.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/244.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/244.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/245.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/245.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,737 root INFO copying pythondata_cpu_microwatt/vhdl/tests/246.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/246.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/247.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/247.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/248.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/248.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,742 root INFO copying pythondata_cpu_microwatt/vhdl/tests/249.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/249.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/25.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,745 root INFO copying pythondata_cpu_microwatt/vhdl/tests/25.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/250.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/250.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/251.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/251.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,749 root INFO copying pythondata_cpu_microwatt/vhdl/tests/252.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/252.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,751 root INFO copying pythondata_cpu_microwatt/vhdl/tests/253.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/253.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/254.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/254.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/255.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/255.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/256.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,757 root INFO copying pythondata_cpu_microwatt/vhdl/tests/256.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,758 root INFO copying pythondata_cpu_microwatt/vhdl/tests/257.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,759 root INFO copying pythondata_cpu_microwatt/vhdl/tests/257.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/258.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/258.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/259.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,762 root INFO copying pythondata_cpu_microwatt/vhdl/tests/259.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/26.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/26.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/260.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/260.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/261.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/261.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/262.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/262.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/263.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/263.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,772 root INFO copying pythondata_cpu_microwatt/vhdl/tests/264.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,773 root INFO copying pythondata_cpu_microwatt/vhdl/tests/264.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,774 root INFO copying pythondata_cpu_microwatt/vhdl/tests/265.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,775 root INFO copying pythondata_cpu_microwatt/vhdl/tests/265.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,775 root INFO copying pythondata_cpu_microwatt/vhdl/tests/266.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,776 root INFO copying pythondata_cpu_microwatt/vhdl/tests/266.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,779 root INFO copying pythondata_cpu_microwatt/vhdl/tests/267.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,780 root INFO copying pythondata_cpu_microwatt/vhdl/tests/267.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,781 root INFO copying pythondata_cpu_microwatt/vhdl/tests/268.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,782 root INFO copying pythondata_cpu_microwatt/vhdl/tests/268.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,782 root INFO copying pythondata_cpu_microwatt/vhdl/tests/269.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,783 root INFO copying pythondata_cpu_microwatt/vhdl/tests/269.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,784 root INFO copying pythondata_cpu_microwatt/vhdl/tests/27.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,785 root INFO copying pythondata_cpu_microwatt/vhdl/tests/27.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,786 root INFO copying pythondata_cpu_microwatt/vhdl/tests/270.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,787 root INFO copying pythondata_cpu_microwatt/vhdl/tests/270.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,788 root INFO copying pythondata_cpu_microwatt/vhdl/tests/271.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,789 root INFO copying pythondata_cpu_microwatt/vhdl/tests/271.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,789 root INFO copying pythondata_cpu_microwatt/vhdl/tests/272.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,790 root INFO copying pythondata_cpu_microwatt/vhdl/tests/272.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,791 root INFO copying pythondata_cpu_microwatt/vhdl/tests/273.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,792 root INFO copying pythondata_cpu_microwatt/vhdl/tests/273.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,793 root INFO copying pythondata_cpu_microwatt/vhdl/tests/274.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,794 root INFO copying pythondata_cpu_microwatt/vhdl/tests/274.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,795 root INFO copying pythondata_cpu_microwatt/vhdl/tests/275.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,796 root INFO copying pythondata_cpu_microwatt/vhdl/tests/275.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,796 root INFO copying pythondata_cpu_microwatt/vhdl/tests/276.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,797 root INFO copying pythondata_cpu_microwatt/vhdl/tests/276.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,798 root INFO copying pythondata_cpu_microwatt/vhdl/tests/277.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,799 root INFO copying pythondata_cpu_microwatt/vhdl/tests/277.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,800 root INFO copying pythondata_cpu_microwatt/vhdl/tests/278.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,801 root INFO copying pythondata_cpu_microwatt/vhdl/tests/278.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,802 root INFO copying pythondata_cpu_microwatt/vhdl/tests/279.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/279.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/28.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/28.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/280.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/280.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/281.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/281.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/282.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/282.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,811 root INFO copying pythondata_cpu_microwatt/vhdl/tests/283.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/283.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/284.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/284.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/285.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/285.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/286.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,817 root INFO copying pythondata_cpu_microwatt/vhdl/tests/286.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,818 root INFO copying pythondata_cpu_microwatt/vhdl/tests/287.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,818 root INFO copying pythondata_cpu_microwatt/vhdl/tests/287.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,819 root INFO copying pythondata_cpu_microwatt/vhdl/tests/288.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,820 root INFO copying pythondata_cpu_microwatt/vhdl/tests/288.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,821 root INFO copying pythondata_cpu_microwatt/vhdl/tests/289.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,822 root INFO copying pythondata_cpu_microwatt/vhdl/tests/289.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,823 root INFO copying pythondata_cpu_microwatt/vhdl/tests/29.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,824 root INFO copying pythondata_cpu_microwatt/vhdl/tests/29.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,825 root INFO copying pythondata_cpu_microwatt/vhdl/tests/290.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,826 root INFO copying pythondata_cpu_microwatt/vhdl/tests/290.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,826 root INFO copying pythondata_cpu_microwatt/vhdl/tests/291.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,827 root INFO copying pythondata_cpu_microwatt/vhdl/tests/291.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,828 root INFO copying pythondata_cpu_microwatt/vhdl/tests/292.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,829 root INFO copying pythondata_cpu_microwatt/vhdl/tests/292.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,830 root INFO copying pythondata_cpu_microwatt/vhdl/tests/293.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,831 root INFO copying pythondata_cpu_microwatt/vhdl/tests/293.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,832 root INFO copying pythondata_cpu_microwatt/vhdl/tests/294.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,833 root INFO copying pythondata_cpu_microwatt/vhdl/tests/294.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,833 root INFO copying pythondata_cpu_microwatt/vhdl/tests/295.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,834 root INFO copying pythondata_cpu_microwatt/vhdl/tests/295.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,835 root INFO copying pythondata_cpu_microwatt/vhdl/tests/296.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,836 root INFO copying pythondata_cpu_microwatt/vhdl/tests/296.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,837 root INFO copying pythondata_cpu_microwatt/vhdl/tests/297.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,838 root INFO copying pythondata_cpu_microwatt/vhdl/tests/297.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,839 root INFO copying pythondata_cpu_microwatt/vhdl/tests/298.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,840 root INFO copying pythondata_cpu_microwatt/vhdl/tests/298.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,840 root INFO copying pythondata_cpu_microwatt/vhdl/tests/299.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,841 root INFO copying pythondata_cpu_microwatt/vhdl/tests/299.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,842 root INFO copying pythondata_cpu_microwatt/vhdl/tests/3.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,843 root INFO copying pythondata_cpu_microwatt/vhdl/tests/3.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,844 root INFO copying pythondata_cpu_microwatt/vhdl/tests/30.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,845 root INFO copying pythondata_cpu_microwatt/vhdl/tests/30.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,846 root INFO copying pythondata_cpu_microwatt/vhdl/tests/300.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,847 root INFO copying pythondata_cpu_microwatt/vhdl/tests/300.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,847 root INFO copying pythondata_cpu_microwatt/vhdl/tests/301.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,848 root INFO copying pythondata_cpu_microwatt/vhdl/tests/301.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,849 root INFO copying pythondata_cpu_microwatt/vhdl/tests/302.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,850 root INFO copying pythondata_cpu_microwatt/vhdl/tests/302.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,851 root INFO copying pythondata_cpu_microwatt/vhdl/tests/303.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,852 root INFO copying pythondata_cpu_microwatt/vhdl/tests/303.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,853 root INFO copying pythondata_cpu_microwatt/vhdl/tests/304.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,854 root INFO copying pythondata_cpu_microwatt/vhdl/tests/304.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,854 root INFO copying pythondata_cpu_microwatt/vhdl/tests/305.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,856 root INFO copying pythondata_cpu_microwatt/vhdl/tests/305.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,856 root INFO copying pythondata_cpu_microwatt/vhdl/tests/306.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,857 root INFO copying pythondata_cpu_microwatt/vhdl/tests/306.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,858 root INFO copying pythondata_cpu_microwatt/vhdl/tests/307.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,859 root INFO copying pythondata_cpu_microwatt/vhdl/tests/307.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,860 root INFO copying pythondata_cpu_microwatt/vhdl/tests/308.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,861 root INFO copying pythondata_cpu_microwatt/vhdl/tests/308.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,861 root INFO copying pythondata_cpu_microwatt/vhdl/tests/309.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,863 root INFO copying pythondata_cpu_microwatt/vhdl/tests/309.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,863 root INFO copying pythondata_cpu_microwatt/vhdl/tests/31.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,864 root INFO copying pythondata_cpu_microwatt/vhdl/tests/31.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,865 root INFO copying pythondata_cpu_microwatt/vhdl/tests/310.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,866 root INFO copying pythondata_cpu_microwatt/vhdl/tests/310.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,867 root INFO copying pythondata_cpu_microwatt/vhdl/tests/311.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,868 root INFO copying pythondata_cpu_microwatt/vhdl/tests/311.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,869 root INFO copying pythondata_cpu_microwatt/vhdl/tests/312.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,870 root INFO copying pythondata_cpu_microwatt/vhdl/tests/312.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,870 root INFO copying pythondata_cpu_microwatt/vhdl/tests/313.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,871 root INFO copying pythondata_cpu_microwatt/vhdl/tests/313.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,872 root INFO copying pythondata_cpu_microwatt/vhdl/tests/314.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,873 root INFO copying pythondata_cpu_microwatt/vhdl/tests/314.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,874 root INFO copying pythondata_cpu_microwatt/vhdl/tests/315.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,875 root INFO copying pythondata_cpu_microwatt/vhdl/tests/315.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,876 root INFO copying pythondata_cpu_microwatt/vhdl/tests/316.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,877 root INFO copying pythondata_cpu_microwatt/vhdl/tests/316.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,877 root INFO copying pythondata_cpu_microwatt/vhdl/tests/317.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,878 root INFO copying pythondata_cpu_microwatt/vhdl/tests/317.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,879 root INFO copying pythondata_cpu_microwatt/vhdl/tests/318.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,880 root INFO copying pythondata_cpu_microwatt/vhdl/tests/318.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,881 root INFO copying pythondata_cpu_microwatt/vhdl/tests/319.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,882 root INFO copying pythondata_cpu_microwatt/vhdl/tests/319.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,883 root INFO copying pythondata_cpu_microwatt/vhdl/tests/32.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,884 root INFO copying pythondata_cpu_microwatt/vhdl/tests/32.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,884 root INFO copying pythondata_cpu_microwatt/vhdl/tests/320.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,885 root INFO copying pythondata_cpu_microwatt/vhdl/tests/320.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,886 root INFO copying pythondata_cpu_microwatt/vhdl/tests/321.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,887 root INFO copying pythondata_cpu_microwatt/vhdl/tests/321.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,888 root INFO copying pythondata_cpu_microwatt/vhdl/tests/322.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,889 root INFO copying pythondata_cpu_microwatt/vhdl/tests/322.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,890 root INFO copying pythondata_cpu_microwatt/vhdl/tests/323.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,891 root INFO copying pythondata_cpu_microwatt/vhdl/tests/323.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,891 root INFO copying pythondata_cpu_microwatt/vhdl/tests/324.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,892 root INFO copying pythondata_cpu_microwatt/vhdl/tests/324.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,893 root INFO copying pythondata_cpu_microwatt/vhdl/tests/325.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,894 root INFO copying pythondata_cpu_microwatt/vhdl/tests/325.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,895 root INFO copying pythondata_cpu_microwatt/vhdl/tests/326.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,896 root INFO copying pythondata_cpu_microwatt/vhdl/tests/326.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,897 root INFO copying pythondata_cpu_microwatt/vhdl/tests/327.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,898 root INFO copying pythondata_cpu_microwatt/vhdl/tests/327.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,899 root INFO copying pythondata_cpu_microwatt/vhdl/tests/328.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,900 root INFO copying pythondata_cpu_microwatt/vhdl/tests/328.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,900 root INFO copying pythondata_cpu_microwatt/vhdl/tests/329.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,901 root INFO copying pythondata_cpu_microwatt/vhdl/tests/329.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,902 root INFO copying pythondata_cpu_microwatt/vhdl/tests/33.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,903 root INFO copying pythondata_cpu_microwatt/vhdl/tests/33.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,904 root INFO copying pythondata_cpu_microwatt/vhdl/tests/330.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,905 root INFO copying pythondata_cpu_microwatt/vhdl/tests/330.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,905 root INFO copying pythondata_cpu_microwatt/vhdl/tests/331.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,906 root INFO copying pythondata_cpu_microwatt/vhdl/tests/331.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,907 root INFO copying pythondata_cpu_microwatt/vhdl/tests/332.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,908 root INFO copying pythondata_cpu_microwatt/vhdl/tests/332.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,909 root INFO copying pythondata_cpu_microwatt/vhdl/tests/333.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,910 root INFO copying pythondata_cpu_microwatt/vhdl/tests/333.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,911 root INFO copying pythondata_cpu_microwatt/vhdl/tests/334.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,912 root INFO copying pythondata_cpu_microwatt/vhdl/tests/334.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,912 root INFO copying pythondata_cpu_microwatt/vhdl/tests/335.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,913 root INFO copying pythondata_cpu_microwatt/vhdl/tests/335.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,914 root INFO copying pythondata_cpu_microwatt/vhdl/tests/336.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,915 root INFO copying pythondata_cpu_microwatt/vhdl/tests/336.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,916 root INFO copying pythondata_cpu_microwatt/vhdl/tests/337.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,917 root INFO copying pythondata_cpu_microwatt/vhdl/tests/337.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,918 root INFO copying pythondata_cpu_microwatt/vhdl/tests/338.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,919 root INFO copying pythondata_cpu_microwatt/vhdl/tests/338.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,920 root INFO copying pythondata_cpu_microwatt/vhdl/tests/339.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,921 root INFO copying pythondata_cpu_microwatt/vhdl/tests/339.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,921 root INFO copying pythondata_cpu_microwatt/vhdl/tests/34.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,922 root INFO copying pythondata_cpu_microwatt/vhdl/tests/34.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,923 root INFO copying pythondata_cpu_microwatt/vhdl/tests/340.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,924 root INFO copying pythondata_cpu_microwatt/vhdl/tests/340.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,925 root INFO copying pythondata_cpu_microwatt/vhdl/tests/341.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,926 root INFO copying pythondata_cpu_microwatt/vhdl/tests/341.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,927 root INFO copying pythondata_cpu_microwatt/vhdl/tests/342.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,928 root INFO copying pythondata_cpu_microwatt/vhdl/tests/342.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,928 root INFO copying pythondata_cpu_microwatt/vhdl/tests/343.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,929 root INFO copying pythondata_cpu_microwatt/vhdl/tests/343.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,930 root INFO copying pythondata_cpu_microwatt/vhdl/tests/344.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,931 root INFO copying pythondata_cpu_microwatt/vhdl/tests/344.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,932 root INFO copying pythondata_cpu_microwatt/vhdl/tests/345.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,933 root INFO copying pythondata_cpu_microwatt/vhdl/tests/345.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,933 root INFO copying pythondata_cpu_microwatt/vhdl/tests/346.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,934 root INFO copying pythondata_cpu_microwatt/vhdl/tests/346.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,935 root INFO copying pythondata_cpu_microwatt/vhdl/tests/347.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,936 root INFO copying pythondata_cpu_microwatt/vhdl/tests/347.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,937 root INFO copying pythondata_cpu_microwatt/vhdl/tests/348.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,938 root INFO copying pythondata_cpu_microwatt/vhdl/tests/348.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,939 root INFO copying pythondata_cpu_microwatt/vhdl/tests/349.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,940 root INFO copying pythondata_cpu_microwatt/vhdl/tests/349.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,940 root INFO copying pythondata_cpu_microwatt/vhdl/tests/35.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,941 root INFO copying pythondata_cpu_microwatt/vhdl/tests/35.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,942 root INFO copying pythondata_cpu_microwatt/vhdl/tests/350.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,943 root INFO copying pythondata_cpu_microwatt/vhdl/tests/350.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,944 root INFO copying pythondata_cpu_microwatt/vhdl/tests/351.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,945 root INFO copying pythondata_cpu_microwatt/vhdl/tests/351.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,946 root INFO copying pythondata_cpu_microwatt/vhdl/tests/352.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,947 root INFO copying pythondata_cpu_microwatt/vhdl/tests/352.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,947 root INFO copying pythondata_cpu_microwatt/vhdl/tests/353.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,948 root INFO copying pythondata_cpu_microwatt/vhdl/tests/353.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,949 root INFO copying pythondata_cpu_microwatt/vhdl/tests/354.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,950 root INFO copying pythondata_cpu_microwatt/vhdl/tests/354.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,951 root INFO copying pythondata_cpu_microwatt/vhdl/tests/355.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,952 root INFO copying pythondata_cpu_microwatt/vhdl/tests/355.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,953 root INFO copying pythondata_cpu_microwatt/vhdl/tests/356.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,954 root INFO copying pythondata_cpu_microwatt/vhdl/tests/356.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,954 root INFO copying pythondata_cpu_microwatt/vhdl/tests/357.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,955 root INFO copying pythondata_cpu_microwatt/vhdl/tests/357.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,956 root INFO copying pythondata_cpu_microwatt/vhdl/tests/358.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,957 root INFO copying pythondata_cpu_microwatt/vhdl/tests/358.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,958 root INFO copying pythondata_cpu_microwatt/vhdl/tests/359.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,959 root INFO copying pythondata_cpu_microwatt/vhdl/tests/359.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,960 root INFO copying pythondata_cpu_microwatt/vhdl/tests/36.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,961 root INFO copying pythondata_cpu_microwatt/vhdl/tests/36.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,962 root INFO copying pythondata_cpu_microwatt/vhdl/tests/360.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,962 root INFO copying pythondata_cpu_microwatt/vhdl/tests/360.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,963 root INFO copying pythondata_cpu_microwatt/vhdl/tests/361.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,964 root INFO copying pythondata_cpu_microwatt/vhdl/tests/361.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,965 root INFO copying pythondata_cpu_microwatt/vhdl/tests/362.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,966 root INFO copying pythondata_cpu_microwatt/vhdl/tests/362.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,967 root INFO copying pythondata_cpu_microwatt/vhdl/tests/363.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,968 root INFO copying pythondata_cpu_microwatt/vhdl/tests/363.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,968 root INFO copying pythondata_cpu_microwatt/vhdl/tests/364.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,969 root INFO copying pythondata_cpu_microwatt/vhdl/tests/364.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,970 root INFO copying pythondata_cpu_microwatt/vhdl/tests/365.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,971 root INFO copying pythondata_cpu_microwatt/vhdl/tests/365.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,972 root INFO copying pythondata_cpu_microwatt/vhdl/tests/366.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,973 root INFO copying pythondata_cpu_microwatt/vhdl/tests/366.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,974 root INFO copying pythondata_cpu_microwatt/vhdl/tests/367.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,975 root INFO copying pythondata_cpu_microwatt/vhdl/tests/367.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,975 root INFO copying pythondata_cpu_microwatt/vhdl/tests/368.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,976 root INFO copying pythondata_cpu_microwatt/vhdl/tests/368.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,977 root INFO copying pythondata_cpu_microwatt/vhdl/tests/369.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,978 root INFO copying pythondata_cpu_microwatt/vhdl/tests/369.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,979 root INFO copying pythondata_cpu_microwatt/vhdl/tests/37.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,980 root INFO copying pythondata_cpu_microwatt/vhdl/tests/37.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,981 root INFO copying pythondata_cpu_microwatt/vhdl/tests/370.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,982 root INFO copying pythondata_cpu_microwatt/vhdl/tests/370.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,982 root INFO copying pythondata_cpu_microwatt/vhdl/tests/371.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,983 root INFO copying pythondata_cpu_microwatt/vhdl/tests/371.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,984 root INFO copying pythondata_cpu_microwatt/vhdl/tests/372.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,985 root INFO copying pythondata_cpu_microwatt/vhdl/tests/372.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,986 root INFO copying pythondata_cpu_microwatt/vhdl/tests/373.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,987 root INFO copying pythondata_cpu_microwatt/vhdl/tests/373.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,988 root INFO copying pythondata_cpu_microwatt/vhdl/tests/374.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,989 root INFO copying pythondata_cpu_microwatt/vhdl/tests/374.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,989 root INFO copying pythondata_cpu_microwatt/vhdl/tests/375.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,990 root INFO copying pythondata_cpu_microwatt/vhdl/tests/375.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,991 root INFO copying pythondata_cpu_microwatt/vhdl/tests/376.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,992 root INFO copying pythondata_cpu_microwatt/vhdl/tests/376.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,993 root INFO copying pythondata_cpu_microwatt/vhdl/tests/377.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,994 root INFO copying pythondata_cpu_microwatt/vhdl/tests/377.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,995 root INFO copying pythondata_cpu_microwatt/vhdl/tests/378.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,996 root INFO copying pythondata_cpu_microwatt/vhdl/tests/378.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,996 root INFO copying pythondata_cpu_microwatt/vhdl/tests/379.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,997 root INFO copying pythondata_cpu_microwatt/vhdl/tests/379.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,998 root INFO copying pythondata_cpu_microwatt/vhdl/tests/38.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:12,999 root INFO copying pythondata_cpu_microwatt/vhdl/tests/38.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,000 root INFO copying pythondata_cpu_microwatt/vhdl/tests/380.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,001 root INFO copying pythondata_cpu_microwatt/vhdl/tests/380.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,002 root INFO copying pythondata_cpu_microwatt/vhdl/tests/381.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,003 root INFO copying pythondata_cpu_microwatt/vhdl/tests/381.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,004 root INFO copying pythondata_cpu_microwatt/vhdl/tests/382.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,005 root INFO copying pythondata_cpu_microwatt/vhdl/tests/382.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,005 root INFO copying pythondata_cpu_microwatt/vhdl/tests/383.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,006 root INFO copying pythondata_cpu_microwatt/vhdl/tests/383.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,007 root INFO copying pythondata_cpu_microwatt/vhdl/tests/384.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,008 root INFO copying pythondata_cpu_microwatt/vhdl/tests/384.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,009 root INFO copying pythondata_cpu_microwatt/vhdl/tests/385.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,010 root INFO copying pythondata_cpu_microwatt/vhdl/tests/385.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,011 root INFO copying pythondata_cpu_microwatt/vhdl/tests/386.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,012 root INFO copying pythondata_cpu_microwatt/vhdl/tests/386.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,012 root INFO copying pythondata_cpu_microwatt/vhdl/tests/387.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,013 root INFO copying pythondata_cpu_microwatt/vhdl/tests/387.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,014 root INFO copying pythondata_cpu_microwatt/vhdl/tests/388.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,015 root INFO copying pythondata_cpu_microwatt/vhdl/tests/388.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,016 root INFO copying pythondata_cpu_microwatt/vhdl/tests/389.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,017 root INFO copying pythondata_cpu_microwatt/vhdl/tests/389.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,018 root INFO copying pythondata_cpu_microwatt/vhdl/tests/39.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,019 root INFO copying pythondata_cpu_microwatt/vhdl/tests/39.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,019 root INFO copying pythondata_cpu_microwatt/vhdl/tests/390.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,020 root INFO copying pythondata_cpu_microwatt/vhdl/tests/390.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,021 root INFO copying pythondata_cpu_microwatt/vhdl/tests/391.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,022 root INFO copying pythondata_cpu_microwatt/vhdl/tests/391.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,023 root INFO copying pythondata_cpu_microwatt/vhdl/tests/392.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,024 root INFO copying pythondata_cpu_microwatt/vhdl/tests/392.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,025 root INFO copying pythondata_cpu_microwatt/vhdl/tests/393.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,026 root INFO copying pythondata_cpu_microwatt/vhdl/tests/393.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,026 root INFO copying pythondata_cpu_microwatt/vhdl/tests/394.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,027 root INFO copying pythondata_cpu_microwatt/vhdl/tests/394.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,028 root INFO copying pythondata_cpu_microwatt/vhdl/tests/395.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,029 root INFO copying pythondata_cpu_microwatt/vhdl/tests/395.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,030 root INFO copying pythondata_cpu_microwatt/vhdl/tests/396.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,031 root INFO copying pythondata_cpu_microwatt/vhdl/tests/396.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,032 root INFO copying pythondata_cpu_microwatt/vhdl/tests/397.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,033 root INFO copying pythondata_cpu_microwatt/vhdl/tests/397.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,033 root INFO copying pythondata_cpu_microwatt/vhdl/tests/398.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,034 root INFO copying pythondata_cpu_microwatt/vhdl/tests/398.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,035 root INFO copying pythondata_cpu_microwatt/vhdl/tests/399.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,036 root INFO copying pythondata_cpu_microwatt/vhdl/tests/399.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,037 root INFO copying pythondata_cpu_microwatt/vhdl/tests/4.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,038 root INFO copying pythondata_cpu_microwatt/vhdl/tests/4.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,039 root INFO copying pythondata_cpu_microwatt/vhdl/tests/40.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,040 root INFO copying pythondata_cpu_microwatt/vhdl/tests/40.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,040 root INFO copying pythondata_cpu_microwatt/vhdl/tests/400.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,041 root INFO copying pythondata_cpu_microwatt/vhdl/tests/400.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,042 root INFO copying pythondata_cpu_microwatt/vhdl/tests/401.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,043 root INFO copying pythondata_cpu_microwatt/vhdl/tests/401.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,044 root INFO copying pythondata_cpu_microwatt/vhdl/tests/402.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,045 root INFO copying pythondata_cpu_microwatt/vhdl/tests/402.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,046 root INFO copying pythondata_cpu_microwatt/vhdl/tests/403.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,047 root INFO copying pythondata_cpu_microwatt/vhdl/tests/403.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,047 root INFO copying pythondata_cpu_microwatt/vhdl/tests/404.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,048 root INFO copying pythondata_cpu_microwatt/vhdl/tests/404.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,049 root INFO copying pythondata_cpu_microwatt/vhdl/tests/405.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,050 root INFO copying pythondata_cpu_microwatt/vhdl/tests/405.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,051 root INFO copying pythondata_cpu_microwatt/vhdl/tests/406.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,052 root INFO copying pythondata_cpu_microwatt/vhdl/tests/406.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,053 root INFO copying pythondata_cpu_microwatt/vhdl/tests/407.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,054 root INFO copying pythondata_cpu_microwatt/vhdl/tests/407.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,054 root INFO copying pythondata_cpu_microwatt/vhdl/tests/408.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,056 root INFO copying pythondata_cpu_microwatt/vhdl/tests/408.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,056 root INFO copying pythondata_cpu_microwatt/vhdl/tests/409.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,057 root INFO copying pythondata_cpu_microwatt/vhdl/tests/409.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,058 root INFO copying pythondata_cpu_microwatt/vhdl/tests/41.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,059 root INFO copying pythondata_cpu_microwatt/vhdl/tests/41.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,060 root INFO copying pythondata_cpu_microwatt/vhdl/tests/410.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,061 root INFO copying pythondata_cpu_microwatt/vhdl/tests/410.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,061 root INFO copying pythondata_cpu_microwatt/vhdl/tests/411.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,062 root INFO copying pythondata_cpu_microwatt/vhdl/tests/411.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,063 root INFO copying pythondata_cpu_microwatt/vhdl/tests/412.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,064 root INFO copying pythondata_cpu_microwatt/vhdl/tests/412.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,065 root INFO copying pythondata_cpu_microwatt/vhdl/tests/413.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,066 root INFO copying pythondata_cpu_microwatt/vhdl/tests/413.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,067 root INFO copying pythondata_cpu_microwatt/vhdl/tests/414.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,068 root INFO copying pythondata_cpu_microwatt/vhdl/tests/414.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,068 root INFO copying pythondata_cpu_microwatt/vhdl/tests/415.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,069 root INFO copying pythondata_cpu_microwatt/vhdl/tests/415.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,070 root INFO copying pythondata_cpu_microwatt/vhdl/tests/416.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,071 root INFO copying pythondata_cpu_microwatt/vhdl/tests/416.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,072 root INFO copying pythondata_cpu_microwatt/vhdl/tests/417.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,073 root INFO copying pythondata_cpu_microwatt/vhdl/tests/417.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,074 root INFO copying pythondata_cpu_microwatt/vhdl/tests/418.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,075 root INFO copying pythondata_cpu_microwatt/vhdl/tests/418.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,075 root INFO copying pythondata_cpu_microwatt/vhdl/tests/419.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,076 root INFO copying pythondata_cpu_microwatt/vhdl/tests/419.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,077 root INFO copying pythondata_cpu_microwatt/vhdl/tests/42.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,078 root INFO copying pythondata_cpu_microwatt/vhdl/tests/42.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,079 root INFO copying pythondata_cpu_microwatt/vhdl/tests/420.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,080 root INFO copying pythondata_cpu_microwatt/vhdl/tests/420.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,081 root INFO copying pythondata_cpu_microwatt/vhdl/tests/421.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,082 root INFO copying pythondata_cpu_microwatt/vhdl/tests/421.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,082 root INFO copying pythondata_cpu_microwatt/vhdl/tests/422.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,083 root INFO copying pythondata_cpu_microwatt/vhdl/tests/422.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,084 root INFO copying pythondata_cpu_microwatt/vhdl/tests/423.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,085 root INFO copying pythondata_cpu_microwatt/vhdl/tests/423.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,086 root INFO copying pythondata_cpu_microwatt/vhdl/tests/424.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,087 root INFO copying pythondata_cpu_microwatt/vhdl/tests/424.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,088 root INFO copying pythondata_cpu_microwatt/vhdl/tests/425.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,089 root INFO copying pythondata_cpu_microwatt/vhdl/tests/425.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,089 root INFO copying pythondata_cpu_microwatt/vhdl/tests/426.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,090 root INFO copying pythondata_cpu_microwatt/vhdl/tests/426.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,091 root INFO copying pythondata_cpu_microwatt/vhdl/tests/427.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,092 root INFO copying pythondata_cpu_microwatt/vhdl/tests/427.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,093 root INFO copying pythondata_cpu_microwatt/vhdl/tests/428.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,094 root INFO copying pythondata_cpu_microwatt/vhdl/tests/428.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,095 root INFO copying pythondata_cpu_microwatt/vhdl/tests/429.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,096 root INFO copying pythondata_cpu_microwatt/vhdl/tests/429.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,096 root INFO copying pythondata_cpu_microwatt/vhdl/tests/43.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,098 root INFO copying pythondata_cpu_microwatt/vhdl/tests/43.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,098 root INFO copying pythondata_cpu_microwatt/vhdl/tests/430.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,099 root INFO copying pythondata_cpu_microwatt/vhdl/tests/430.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,100 root INFO copying pythondata_cpu_microwatt/vhdl/tests/431.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,101 root INFO copying pythondata_cpu_microwatt/vhdl/tests/431.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,102 root INFO copying pythondata_cpu_microwatt/vhdl/tests/432.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,103 root INFO copying pythondata_cpu_microwatt/vhdl/tests/432.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,103 root INFO copying pythondata_cpu_microwatt/vhdl/tests/433.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,104 root INFO copying pythondata_cpu_microwatt/vhdl/tests/433.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,105 root INFO copying pythondata_cpu_microwatt/vhdl/tests/434.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,106 root INFO copying pythondata_cpu_microwatt/vhdl/tests/434.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,107 root INFO copying pythondata_cpu_microwatt/vhdl/tests/435.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,108 root INFO copying pythondata_cpu_microwatt/vhdl/tests/435.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,109 root INFO copying pythondata_cpu_microwatt/vhdl/tests/436.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,110 root INFO copying pythondata_cpu_microwatt/vhdl/tests/436.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,110 root INFO copying pythondata_cpu_microwatt/vhdl/tests/437.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,111 root INFO copying pythondata_cpu_microwatt/vhdl/tests/437.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/438.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,113 root INFO copying pythondata_cpu_microwatt/vhdl/tests/438.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,114 root INFO copying pythondata_cpu_microwatt/vhdl/tests/439.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,115 root INFO copying pythondata_cpu_microwatt/vhdl/tests/439.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,116 root INFO copying pythondata_cpu_microwatt/vhdl/tests/44.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/44.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,118 root INFO copying pythondata_cpu_microwatt/vhdl/tests/440.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/440.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/441.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,120 root INFO copying pythondata_cpu_microwatt/vhdl/tests/441.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,121 root INFO copying pythondata_cpu_microwatt/vhdl/tests/442.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,122 root INFO copying pythondata_cpu_microwatt/vhdl/tests/442.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,123 root INFO copying pythondata_cpu_microwatt/vhdl/tests/443.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,124 root INFO copying pythondata_cpu_microwatt/vhdl/tests/443.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,125 root INFO copying pythondata_cpu_microwatt/vhdl/tests/444.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/444.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/445.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,127 root INFO copying pythondata_cpu_microwatt/vhdl/tests/445.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,128 root INFO copying pythondata_cpu_microwatt/vhdl/tests/446.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,129 root INFO copying pythondata_cpu_microwatt/vhdl/tests/446.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/447.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,131 root INFO copying pythondata_cpu_microwatt/vhdl/tests/447.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,132 root INFO copying pythondata_cpu_microwatt/vhdl/tests/448.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,133 root INFO copying pythondata_cpu_microwatt/vhdl/tests/448.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,133 root INFO copying pythondata_cpu_microwatt/vhdl/tests/449.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,134 root INFO copying pythondata_cpu_microwatt/vhdl/tests/449.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,135 root INFO copying pythondata_cpu_microwatt/vhdl/tests/45.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/45.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,137 root INFO copying pythondata_cpu_microwatt/vhdl/tests/450.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,138 root INFO copying pythondata_cpu_microwatt/vhdl/tests/450.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,139 root INFO copying pythondata_cpu_microwatt/vhdl/tests/451.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/451.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/452.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,141 root INFO copying pythondata_cpu_microwatt/vhdl/tests/452.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,142 root INFO copying pythondata_cpu_microwatt/vhdl/tests/453.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,143 root INFO copying pythondata_cpu_microwatt/vhdl/tests/453.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,144 root INFO copying pythondata_cpu_microwatt/vhdl/tests/454.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,145 root INFO copying pythondata_cpu_microwatt/vhdl/tests/454.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,146 root INFO copying pythondata_cpu_microwatt/vhdl/tests/455.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/455.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/456.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,148 root INFO copying pythondata_cpu_microwatt/vhdl/tests/456.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,149 root INFO copying pythondata_cpu_microwatt/vhdl/tests/457.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,150 root INFO copying pythondata_cpu_microwatt/vhdl/tests/457.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,151 root INFO copying pythondata_cpu_microwatt/vhdl/tests/458.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,152 root INFO copying pythondata_cpu_microwatt/vhdl/tests/458.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,153 root INFO copying pythondata_cpu_microwatt/vhdl/tests/459.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/459.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/46.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,155 root INFO copying pythondata_cpu_microwatt/vhdl/tests/46.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,156 root INFO copying pythondata_cpu_microwatt/vhdl/tests/460.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,157 root INFO copying pythondata_cpu_microwatt/vhdl/tests/460.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,158 root INFO copying pythondata_cpu_microwatt/vhdl/tests/461.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,159 root INFO copying pythondata_cpu_microwatt/vhdl/tests/461.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,160 root INFO copying pythondata_cpu_microwatt/vhdl/tests/462.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,161 root INFO copying pythondata_cpu_microwatt/vhdl/tests/462.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,161 root INFO copying pythondata_cpu_microwatt/vhdl/tests/463.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,162 root INFO copying pythondata_cpu_microwatt/vhdl/tests/463.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,163 root INFO copying pythondata_cpu_microwatt/vhdl/tests/464.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,164 root INFO copying pythondata_cpu_microwatt/vhdl/tests/464.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,165 root INFO copying pythondata_cpu_microwatt/vhdl/tests/465.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,166 root INFO copying pythondata_cpu_microwatt/vhdl/tests/465.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,167 root INFO copying pythondata_cpu_microwatt/vhdl/tests/466.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,167 root INFO copying pythondata_cpu_microwatt/vhdl/tests/466.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,168 root INFO copying pythondata_cpu_microwatt/vhdl/tests/467.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,169 root INFO copying pythondata_cpu_microwatt/vhdl/tests/467.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,170 root INFO copying pythondata_cpu_microwatt/vhdl/tests/468.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,171 root INFO copying pythondata_cpu_microwatt/vhdl/tests/468.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,172 root INFO copying pythondata_cpu_microwatt/vhdl/tests/469.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,173 root INFO copying pythondata_cpu_microwatt/vhdl/tests/469.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,174 root INFO copying pythondata_cpu_microwatt/vhdl/tests/47.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,175 root INFO copying pythondata_cpu_microwatt/vhdl/tests/47.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,175 root INFO copying pythondata_cpu_microwatt/vhdl/tests/470.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,176 root INFO copying pythondata_cpu_microwatt/vhdl/tests/470.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,177 root INFO copying pythondata_cpu_microwatt/vhdl/tests/471.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,178 root INFO copying pythondata_cpu_microwatt/vhdl/tests/471.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,179 root INFO copying pythondata_cpu_microwatt/vhdl/tests/472.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,180 root INFO copying pythondata_cpu_microwatt/vhdl/tests/472.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,181 root INFO copying pythondata_cpu_microwatt/vhdl/tests/473.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,182 root INFO copying pythondata_cpu_microwatt/vhdl/tests/473.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,182 root INFO copying pythondata_cpu_microwatt/vhdl/tests/474.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,183 root INFO copying pythondata_cpu_microwatt/vhdl/tests/474.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,184 root INFO copying pythondata_cpu_microwatt/vhdl/tests/475.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,185 root INFO copying pythondata_cpu_microwatt/vhdl/tests/475.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,186 root INFO copying pythondata_cpu_microwatt/vhdl/tests/476.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/476.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,188 root INFO copying pythondata_cpu_microwatt/vhdl/tests/477.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,189 root INFO copying pythondata_cpu_microwatt/vhdl/tests/477.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,190 root INFO copying pythondata_cpu_microwatt/vhdl/tests/478.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,191 root INFO copying pythondata_cpu_microwatt/vhdl/tests/478.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,191 root INFO copying pythondata_cpu_microwatt/vhdl/tests/479.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,192 root INFO copying pythondata_cpu_microwatt/vhdl/tests/479.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,193 root INFO copying pythondata_cpu_microwatt/vhdl/tests/48.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/48.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,195 root INFO copying pythondata_cpu_microwatt/vhdl/tests/480.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,196 root INFO copying pythondata_cpu_microwatt/vhdl/tests/480.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,197 root INFO copying pythondata_cpu_microwatt/vhdl/tests/481.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,197 root INFO copying pythondata_cpu_microwatt/vhdl/tests/481.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,198 root INFO copying pythondata_cpu_microwatt/vhdl/tests/482.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,199 root INFO copying pythondata_cpu_microwatt/vhdl/tests/482.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/483.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,201 root INFO copying pythondata_cpu_microwatt/vhdl/tests/483.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,202 root INFO copying pythondata_cpu_microwatt/vhdl/tests/484.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,203 root INFO copying pythondata_cpu_microwatt/vhdl/tests/484.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/485.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,205 root INFO copying pythondata_cpu_microwatt/vhdl/tests/485.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,205 root INFO copying pythondata_cpu_microwatt/vhdl/tests/486.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,206 root INFO copying pythondata_cpu_microwatt/vhdl/tests/486.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,207 root INFO copying pythondata_cpu_microwatt/vhdl/tests/487.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,208 root INFO copying pythondata_cpu_microwatt/vhdl/tests/487.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,209 root INFO copying pythondata_cpu_microwatt/vhdl/tests/488.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,210 root INFO copying pythondata_cpu_microwatt/vhdl/tests/488.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/489.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/489.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/49.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/49.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/490.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,215 root INFO copying pythondata_cpu_microwatt/vhdl/tests/490.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,216 root INFO copying pythondata_cpu_microwatt/vhdl/tests/491.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,217 root INFO copying pythondata_cpu_microwatt/vhdl/tests/491.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/492.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/492.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/493.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/493.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/494.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,222 root INFO copying pythondata_cpu_microwatt/vhdl/tests/494.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,223 root INFO copying pythondata_cpu_microwatt/vhdl/tests/495.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,224 root INFO copying pythondata_cpu_microwatt/vhdl/tests/495.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/496.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/496.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/497.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,227 root INFO copying pythondata_cpu_microwatt/vhdl/tests/497.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/498.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,229 root INFO copying pythondata_cpu_microwatt/vhdl/tests/498.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,230 root INFO copying pythondata_cpu_microwatt/vhdl/tests/499.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/499.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,232 root INFO copying pythondata_cpu_microwatt/vhdl/tests/5.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/5.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/50.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/50.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/500.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,236 root INFO copying pythondata_cpu_microwatt/vhdl/tests/500.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/501.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/501.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,239 root INFO copying pythondata_cpu_microwatt/vhdl/tests/502.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,240 root INFO copying pythondata_cpu_microwatt/vhdl/tests/502.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,240 root INFO copying pythondata_cpu_microwatt/vhdl/tests/503.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,241 root INFO copying pythondata_cpu_microwatt/vhdl/tests/503.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,242 root INFO copying pythondata_cpu_microwatt/vhdl/tests/504.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,243 root INFO copying pythondata_cpu_microwatt/vhdl/tests/504.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,244 root INFO copying pythondata_cpu_microwatt/vhdl/tests/505.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,245 root INFO copying pythondata_cpu_microwatt/vhdl/tests/505.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,246 root INFO copying pythondata_cpu_microwatt/vhdl/tests/506.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,247 root INFO copying pythondata_cpu_microwatt/vhdl/tests/506.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,247 root INFO copying pythondata_cpu_microwatt/vhdl/tests/507.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,248 root INFO copying pythondata_cpu_microwatt/vhdl/tests/507.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,249 root INFO copying pythondata_cpu_microwatt/vhdl/tests/508.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,250 root INFO copying pythondata_cpu_microwatt/vhdl/tests/508.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,251 root INFO copying pythondata_cpu_microwatt/vhdl/tests/509.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,252 root INFO copying pythondata_cpu_microwatt/vhdl/tests/509.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,253 root INFO copying pythondata_cpu_microwatt/vhdl/tests/51.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,254 root INFO copying pythondata_cpu_microwatt/vhdl/tests/51.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,254 root INFO copying pythondata_cpu_microwatt/vhdl/tests/510.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,255 root INFO copying pythondata_cpu_microwatt/vhdl/tests/510.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,256 root INFO copying pythondata_cpu_microwatt/vhdl/tests/511.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,257 root INFO copying pythondata_cpu_microwatt/vhdl/tests/511.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,258 root INFO copying pythondata_cpu_microwatt/vhdl/tests/512.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,259 root INFO copying pythondata_cpu_microwatt/vhdl/tests/512.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,260 root INFO copying pythondata_cpu_microwatt/vhdl/tests/513.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,261 root INFO copying pythondata_cpu_microwatt/vhdl/tests/513.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,261 root INFO copying pythondata_cpu_microwatt/vhdl/tests/514.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,262 root INFO copying pythondata_cpu_microwatt/vhdl/tests/514.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,263 root INFO copying pythondata_cpu_microwatt/vhdl/tests/515.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,264 root INFO copying pythondata_cpu_microwatt/vhdl/tests/515.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,265 root INFO copying pythondata_cpu_microwatt/vhdl/tests/516.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,266 root INFO copying pythondata_cpu_microwatt/vhdl/tests/516.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,267 root INFO copying pythondata_cpu_microwatt/vhdl/tests/517.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,268 root INFO copying pythondata_cpu_microwatt/vhdl/tests/517.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,268 root INFO copying pythondata_cpu_microwatt/vhdl/tests/518.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,269 root INFO copying pythondata_cpu_microwatt/vhdl/tests/518.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,270 root INFO copying pythondata_cpu_microwatt/vhdl/tests/519.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,271 root INFO copying pythondata_cpu_microwatt/vhdl/tests/519.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,272 root INFO copying pythondata_cpu_microwatt/vhdl/tests/52.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,273 root INFO copying pythondata_cpu_microwatt/vhdl/tests/52.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,274 root INFO copying pythondata_cpu_microwatt/vhdl/tests/520.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/520.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/521.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,276 root INFO copying pythondata_cpu_microwatt/vhdl/tests/521.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,277 root INFO copying pythondata_cpu_microwatt/vhdl/tests/522.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,278 root INFO copying pythondata_cpu_microwatt/vhdl/tests/522.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,279 root INFO copying pythondata_cpu_microwatt/vhdl/tests/523.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,280 root INFO copying pythondata_cpu_microwatt/vhdl/tests/523.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,281 root INFO copying pythondata_cpu_microwatt/vhdl/tests/524.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,282 root INFO copying pythondata_cpu_microwatt/vhdl/tests/524.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,282 root INFO copying pythondata_cpu_microwatt/vhdl/tests/525.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,283 root INFO copying pythondata_cpu_microwatt/vhdl/tests/525.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,284 root INFO copying pythondata_cpu_microwatt/vhdl/tests/526.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,285 root INFO copying pythondata_cpu_microwatt/vhdl/tests/526.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,286 root INFO copying pythondata_cpu_microwatt/vhdl/tests/527.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,287 root INFO copying pythondata_cpu_microwatt/vhdl/tests/527.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,288 root INFO copying pythondata_cpu_microwatt/vhdl/tests/528.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,289 root INFO copying pythondata_cpu_microwatt/vhdl/tests/528.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,290 root INFO copying pythondata_cpu_microwatt/vhdl/tests/529.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/529.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/53.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,292 root INFO copying pythondata_cpu_microwatt/vhdl/tests/53.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,293 root INFO copying pythondata_cpu_microwatt/vhdl/tests/530.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,294 root INFO copying pythondata_cpu_microwatt/vhdl/tests/530.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,295 root INFO copying pythondata_cpu_microwatt/vhdl/tests/531.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/531.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/532.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,298 root INFO copying pythondata_cpu_microwatt/vhdl/tests/532.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,298 root INFO copying pythondata_cpu_microwatt/vhdl/tests/533.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,299 root INFO copying pythondata_cpu_microwatt/vhdl/tests/533.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,300 root INFO copying pythondata_cpu_microwatt/vhdl/tests/534.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/534.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,302 root INFO copying pythondata_cpu_microwatt/vhdl/tests/535.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,303 root INFO copying pythondata_cpu_microwatt/vhdl/tests/535.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,304 root INFO copying pythondata_cpu_microwatt/vhdl/tests/536.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/536.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/537.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/537.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,307 root INFO copying pythondata_cpu_microwatt/vhdl/tests/538.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,308 root INFO copying pythondata_cpu_microwatt/vhdl/tests/538.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/539.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,310 root INFO copying pythondata_cpu_microwatt/vhdl/tests/539.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/54.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/54.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/540.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,313 root INFO copying pythondata_cpu_microwatt/vhdl/tests/540.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,314 root INFO copying pythondata_cpu_microwatt/vhdl/tests/541.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/541.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/542.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,317 root INFO copying pythondata_cpu_microwatt/vhdl/tests/542.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/543.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,319 root INFO copying pythondata_cpu_microwatt/vhdl/tests/543.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,319 root INFO copying pythondata_cpu_microwatt/vhdl/tests/544.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/544.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,321 root INFO copying pythondata_cpu_microwatt/vhdl/tests/545.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/545.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/546.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,324 root INFO copying pythondata_cpu_microwatt/vhdl/tests/546.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,325 root INFO copying pythondata_cpu_microwatt/vhdl/tests/547.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/547.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/548.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,327 root INFO copying pythondata_cpu_microwatt/vhdl/tests/548.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/549.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,329 root INFO copying pythondata_cpu_microwatt/vhdl/tests/549.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/55.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,331 root INFO copying pythondata_cpu_microwatt/vhdl/tests/55.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/550.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/550.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/551.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,334 root INFO copying pythondata_cpu_microwatt/vhdl/tests/551.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,335 root INFO copying pythondata_cpu_microwatt/vhdl/tests/552.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/552.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/553.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,338 root INFO copying pythondata_cpu_microwatt/vhdl/tests/553.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,339 root INFO copying pythondata_cpu_microwatt/vhdl/tests/554.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/554.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/555.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,341 root INFO copying pythondata_cpu_microwatt/vhdl/tests/555.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,342 root INFO copying pythondata_cpu_microwatt/vhdl/tests/556.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/556.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,344 root INFO copying pythondata_cpu_microwatt/vhdl/tests/557.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/557.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,346 root INFO copying pythondata_cpu_microwatt/vhdl/tests/558.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/558.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/559.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,348 root INFO copying pythondata_cpu_microwatt/vhdl/tests/559.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,349 root INFO copying pythondata_cpu_microwatt/vhdl/tests/56.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,350 root INFO copying pythondata_cpu_microwatt/vhdl/tests/56.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,351 root INFO copying pythondata_cpu_microwatt/vhdl/tests/560.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,352 root INFO copying pythondata_cpu_microwatt/vhdl/tests/560.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,353 root INFO copying pythondata_cpu_microwatt/vhdl/tests/561.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,354 root INFO copying pythondata_cpu_microwatt/vhdl/tests/561.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,354 root INFO copying pythondata_cpu_microwatt/vhdl/tests/562.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,355 root INFO copying pythondata_cpu_microwatt/vhdl/tests/562.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,356 root INFO copying pythondata_cpu_microwatt/vhdl/tests/563.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,357 root INFO copying pythondata_cpu_microwatt/vhdl/tests/563.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,358 root INFO copying pythondata_cpu_microwatt/vhdl/tests/564.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,359 root INFO copying pythondata_cpu_microwatt/vhdl/tests/564.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,360 root INFO copying pythondata_cpu_microwatt/vhdl/tests/565.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,361 root INFO copying pythondata_cpu_microwatt/vhdl/tests/565.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/566.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/566.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,363 root INFO copying pythondata_cpu_microwatt/vhdl/tests/567.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,364 root INFO copying pythondata_cpu_microwatt/vhdl/tests/567.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,365 root INFO copying pythondata_cpu_microwatt/vhdl/tests/568.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,366 root INFO copying pythondata_cpu_microwatt/vhdl/tests/568.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,367 root INFO copying pythondata_cpu_microwatt/vhdl/tests/569.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,368 root INFO copying pythondata_cpu_microwatt/vhdl/tests/569.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,368 root INFO copying pythondata_cpu_microwatt/vhdl/tests/57.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,369 root INFO copying pythondata_cpu_microwatt/vhdl/tests/57.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,370 root INFO copying pythondata_cpu_microwatt/vhdl/tests/570.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,371 root INFO copying pythondata_cpu_microwatt/vhdl/tests/570.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,372 root INFO copying pythondata_cpu_microwatt/vhdl/tests/571.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,373 root INFO copying pythondata_cpu_microwatt/vhdl/tests/571.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,374 root INFO copying pythondata_cpu_microwatt/vhdl/tests/572.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,375 root INFO copying pythondata_cpu_microwatt/vhdl/tests/572.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,375 root INFO copying pythondata_cpu_microwatt/vhdl/tests/573.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,376 root INFO copying pythondata_cpu_microwatt/vhdl/tests/573.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,377 root INFO copying pythondata_cpu_microwatt/vhdl/tests/574.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,378 root INFO copying pythondata_cpu_microwatt/vhdl/tests/574.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,379 root INFO copying pythondata_cpu_microwatt/vhdl/tests/575.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,380 root INFO copying pythondata_cpu_microwatt/vhdl/tests/575.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,381 root INFO copying pythondata_cpu_microwatt/vhdl/tests/576.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,382 root INFO copying pythondata_cpu_microwatt/vhdl/tests/576.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,383 root INFO copying pythondata_cpu_microwatt/vhdl/tests/577.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,384 root INFO copying pythondata_cpu_microwatt/vhdl/tests/577.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,384 root INFO copying pythondata_cpu_microwatt/vhdl/tests/578.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,385 root INFO copying pythondata_cpu_microwatt/vhdl/tests/578.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,386 root INFO copying pythondata_cpu_microwatt/vhdl/tests/579.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,387 root INFO copying pythondata_cpu_microwatt/vhdl/tests/579.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,388 root INFO copying pythondata_cpu_microwatt/vhdl/tests/58.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,389 root INFO copying pythondata_cpu_microwatt/vhdl/tests/58.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,389 root INFO copying pythondata_cpu_microwatt/vhdl/tests/580.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,390 root INFO copying pythondata_cpu_microwatt/vhdl/tests/580.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,391 root INFO copying pythondata_cpu_microwatt/vhdl/tests/581.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,392 root INFO copying pythondata_cpu_microwatt/vhdl/tests/581.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/582.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,394 root INFO copying pythondata_cpu_microwatt/vhdl/tests/582.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,395 root INFO copying pythondata_cpu_microwatt/vhdl/tests/583.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,396 root INFO copying pythondata_cpu_microwatt/vhdl/tests/583.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,397 root INFO copying pythondata_cpu_microwatt/vhdl/tests/584.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/584.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/585.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,399 root INFO copying pythondata_cpu_microwatt/vhdl/tests/585.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,400 root INFO copying pythondata_cpu_microwatt/vhdl/tests/586.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,401 root INFO copying pythondata_cpu_microwatt/vhdl/tests/586.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,402 root INFO copying pythondata_cpu_microwatt/vhdl/tests/587.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,403 root INFO copying pythondata_cpu_microwatt/vhdl/tests/587.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,404 root INFO copying pythondata_cpu_microwatt/vhdl/tests/588.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,405 root INFO copying pythondata_cpu_microwatt/vhdl/tests/588.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,405 root INFO copying pythondata_cpu_microwatt/vhdl/tests/589.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,406 root INFO copying pythondata_cpu_microwatt/vhdl/tests/589.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,407 root INFO copying pythondata_cpu_microwatt/vhdl/tests/59.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,408 root INFO copying pythondata_cpu_microwatt/vhdl/tests/59.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,409 root INFO copying pythondata_cpu_microwatt/vhdl/tests/590.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,410 root INFO copying pythondata_cpu_microwatt/vhdl/tests/590.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,411 root INFO copying pythondata_cpu_microwatt/vhdl/tests/591.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,411 root INFO copying pythondata_cpu_microwatt/vhdl/tests/591.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,412 root INFO copying pythondata_cpu_microwatt/vhdl/tests/592.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,413 root INFO copying pythondata_cpu_microwatt/vhdl/tests/592.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,414 root INFO copying pythondata_cpu_microwatt/vhdl/tests/593.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,415 root INFO copying pythondata_cpu_microwatt/vhdl/tests/593.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,416 root INFO copying pythondata_cpu_microwatt/vhdl/tests/594.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,417 root INFO copying pythondata_cpu_microwatt/vhdl/tests/594.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,418 root INFO copying pythondata_cpu_microwatt/vhdl/tests/595.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,419 root INFO copying pythondata_cpu_microwatt/vhdl/tests/595.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,419 root INFO copying pythondata_cpu_microwatt/vhdl/tests/596.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,420 root INFO copying pythondata_cpu_microwatt/vhdl/tests/596.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,421 root INFO copying pythondata_cpu_microwatt/vhdl/tests/597.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,422 root INFO copying pythondata_cpu_microwatt/vhdl/tests/597.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,423 root INFO copying pythondata_cpu_microwatt/vhdl/tests/598.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,424 root INFO copying pythondata_cpu_microwatt/vhdl/tests/598.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,425 root INFO copying pythondata_cpu_microwatt/vhdl/tests/599.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/599.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/6.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,427 root INFO copying pythondata_cpu_microwatt/vhdl/tests/6.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,428 root INFO copying pythondata_cpu_microwatt/vhdl/tests/60.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,429 root INFO copying pythondata_cpu_microwatt/vhdl/tests/60.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,430 root INFO copying pythondata_cpu_microwatt/vhdl/tests/600.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,431 root INFO copying pythondata_cpu_microwatt/vhdl/tests/600.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,432 root INFO copying pythondata_cpu_microwatt/vhdl/tests/601.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,433 root INFO copying pythondata_cpu_microwatt/vhdl/tests/601.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,433 root INFO copying pythondata_cpu_microwatt/vhdl/tests/602.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,434 root INFO copying pythondata_cpu_microwatt/vhdl/tests/602.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,435 root INFO copying pythondata_cpu_microwatt/vhdl/tests/603.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,436 root INFO copying pythondata_cpu_microwatt/vhdl/tests/603.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,437 root INFO copying pythondata_cpu_microwatt/vhdl/tests/604.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,438 root INFO copying pythondata_cpu_microwatt/vhdl/tests/604.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,439 root INFO copying pythondata_cpu_microwatt/vhdl/tests/605.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,440 root INFO copying pythondata_cpu_microwatt/vhdl/tests/605.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,440 root INFO copying pythondata_cpu_microwatt/vhdl/tests/606.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,441 root INFO copying pythondata_cpu_microwatt/vhdl/tests/606.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,442 root INFO copying pythondata_cpu_microwatt/vhdl/tests/607.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,443 root INFO copying pythondata_cpu_microwatt/vhdl/tests/607.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,444 root INFO copying pythondata_cpu_microwatt/vhdl/tests/608.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,445 root INFO copying pythondata_cpu_microwatt/vhdl/tests/608.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,446 root INFO copying pythondata_cpu_microwatt/vhdl/tests/609.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,447 root INFO copying pythondata_cpu_microwatt/vhdl/tests/609.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,447 root INFO copying pythondata_cpu_microwatt/vhdl/tests/61.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,448 root INFO copying pythondata_cpu_microwatt/vhdl/tests/61.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,449 root INFO copying pythondata_cpu_microwatt/vhdl/tests/610.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,450 root INFO copying pythondata_cpu_microwatt/vhdl/tests/610.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/611.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,452 root INFO copying pythondata_cpu_microwatt/vhdl/tests/611.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,453 root INFO copying pythondata_cpu_microwatt/vhdl/tests/612.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/612.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/613.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,455 root INFO copying pythondata_cpu_microwatt/vhdl/tests/613.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/614.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/614.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,458 root INFO copying pythondata_cpu_microwatt/vhdl/tests/615.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,459 root INFO copying pythondata_cpu_microwatt/vhdl/tests/615.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/616.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/616.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/617.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,462 root INFO copying pythondata_cpu_microwatt/vhdl/tests/617.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,463 root INFO copying pythondata_cpu_microwatt/vhdl/tests/618.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/618.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/619.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,466 root INFO copying pythondata_cpu_microwatt/vhdl/tests/619.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,467 root INFO copying pythondata_cpu_microwatt/vhdl/tests/62.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/62.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/620.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/620.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/621.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,471 root INFO copying pythondata_cpu_microwatt/vhdl/tests/621.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,472 root INFO copying pythondata_cpu_microwatt/vhdl/tests/622.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,473 root INFO copying pythondata_cpu_microwatt/vhdl/tests/622.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,474 root INFO copying pythondata_cpu_microwatt/vhdl/tests/623.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/623.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/624.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,477 root INFO copying pythondata_cpu_microwatt/vhdl/tests/624.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,477 root INFO copying pythondata_cpu_microwatt/vhdl/tests/625.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/625.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,479 root INFO copying pythondata_cpu_microwatt/vhdl/tests/626.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,480 root INFO copying pythondata_cpu_microwatt/vhdl/tests/626.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,481 root INFO copying pythondata_cpu_microwatt/vhdl/tests/627.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,482 root INFO copying pythondata_cpu_microwatt/vhdl/tests/627.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/628.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/628.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/629.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,485 root INFO copying pythondata_cpu_microwatt/vhdl/tests/629.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,486 root INFO copying pythondata_cpu_microwatt/vhdl/tests/63.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,487 root INFO copying pythondata_cpu_microwatt/vhdl/tests/63.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/630.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,489 root INFO copying pythondata_cpu_microwatt/vhdl/tests/630.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/631.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/631.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/632.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,492 root INFO copying pythondata_cpu_microwatt/vhdl/tests/632.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/633.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,494 root INFO copying pythondata_cpu_microwatt/vhdl/tests/633.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,495 root INFO copying pythondata_cpu_microwatt/vhdl/tests/634.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,496 root INFO copying pythondata_cpu_microwatt/vhdl/tests/634.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/635.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/635.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/636.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,499 root INFO copying pythondata_cpu_microwatt/vhdl/tests/636.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,500 root INFO copying pythondata_cpu_microwatt/vhdl/tests/637.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/637.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/638.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,503 root INFO copying pythondata_cpu_microwatt/vhdl/tests/638.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,504 root INFO copying pythondata_cpu_microwatt/vhdl/tests/639.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/639.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/64.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,506 root INFO copying pythondata_cpu_microwatt/vhdl/tests/64.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/640.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,508 root INFO copying pythondata_cpu_microwatt/vhdl/tests/640.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,509 root INFO copying pythondata_cpu_microwatt/vhdl/tests/641.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/641.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,511 root INFO copying pythondata_cpu_microwatt/vhdl/tests/642.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/642.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/643.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,513 root INFO copying pythondata_cpu_microwatt/vhdl/tests/643.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/644.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,515 root INFO copying pythondata_cpu_microwatt/vhdl/tests/644.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/645.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,517 root INFO copying pythondata_cpu_microwatt/vhdl/tests/645.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,518 root INFO copying pythondata_cpu_microwatt/vhdl/tests/646.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/646.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/647.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/647.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,521 root INFO copying pythondata_cpu_microwatt/vhdl/tests/648.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/648.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/649.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/649.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,525 root INFO copying pythondata_cpu_microwatt/vhdl/tests/65.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/65.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/650.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,527 root INFO copying pythondata_cpu_microwatt/vhdl/tests/650.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,528 root INFO copying pythondata_cpu_microwatt/vhdl/tests/651.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/651.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,530 root INFO copying pythondata_cpu_microwatt/vhdl/tests/652.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/652.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,532 root INFO copying pythondata_cpu_microwatt/vhdl/tests/653.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/653.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/654.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,534 root INFO copying pythondata_cpu_microwatt/vhdl/tests/654.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,535 root INFO copying pythondata_cpu_microwatt/vhdl/tests/655.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/655.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/656.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,538 root INFO copying pythondata_cpu_microwatt/vhdl/tests/656.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,539 root INFO copying pythondata_cpu_microwatt/vhdl/tests/657.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/657.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/658.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/658.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,542 root INFO copying pythondata_cpu_microwatt/vhdl/tests/659.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,543 root INFO copying pythondata_cpu_microwatt/vhdl/tests/659.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/66.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,545 root INFO copying pythondata_cpu_microwatt/vhdl/tests/66.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/660.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/660.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/661.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,548 root INFO copying pythondata_cpu_microwatt/vhdl/tests/661.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/662.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,550 root INFO copying pythondata_cpu_microwatt/vhdl/tests/662.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/663.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,552 root INFO copying pythondata_cpu_microwatt/vhdl/tests/663.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/664.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,554 root INFO copying pythondata_cpu_microwatt/vhdl/tests/664.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,554 root INFO copying pythondata_cpu_microwatt/vhdl/tests/665.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/665.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/666.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,557 root INFO copying pythondata_cpu_microwatt/vhdl/tests/666.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/667.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,559 root INFO copying pythondata_cpu_microwatt/vhdl/tests/667.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,560 root INFO copying pythondata_cpu_microwatt/vhdl/tests/668.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/668.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/669.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,562 root INFO copying pythondata_cpu_microwatt/vhdl/tests/669.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/67.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,564 root INFO copying pythondata_cpu_microwatt/vhdl/tests/67.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,565 root INFO copying pythondata_cpu_microwatt/vhdl/tests/670.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,566 root INFO copying pythondata_cpu_microwatt/vhdl/tests/670.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,567 root INFO copying pythondata_cpu_microwatt/vhdl/tests/671.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/671.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/672.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,569 root INFO copying pythondata_cpu_microwatt/vhdl/tests/672.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/673.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,571 root INFO copying pythondata_cpu_microwatt/vhdl/tests/673.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,572 root INFO copying pythondata_cpu_microwatt/vhdl/tests/674.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/674.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,574 root INFO copying pythondata_cpu_microwatt/vhdl/tests/675.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,574 root INFO copying pythondata_cpu_microwatt/vhdl/tests/675.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/676.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,576 root INFO copying pythondata_cpu_microwatt/vhdl/tests/676.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/677.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,578 root INFO copying pythondata_cpu_microwatt/vhdl/tests/677.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,579 root INFO copying pythondata_cpu_microwatt/vhdl/tests/678.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/678.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/679.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,581 root INFO copying pythondata_cpu_microwatt/vhdl/tests/679.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,582 root INFO copying pythondata_cpu_microwatt/vhdl/tests/68.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,583 root INFO copying pythondata_cpu_microwatt/vhdl/tests/68.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/680.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/680.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,586 root INFO copying pythondata_cpu_microwatt/vhdl/tests/681.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/681.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/682.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,588 root INFO copying pythondata_cpu_microwatt/vhdl/tests/682.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,589 root INFO copying pythondata_cpu_microwatt/vhdl/tests/683.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/683.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,591 root INFO copying pythondata_cpu_microwatt/vhdl/tests/684.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/684.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,593 root INFO copying pythondata_cpu_microwatt/vhdl/tests/685.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/685.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/686.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,595 root INFO copying pythondata_cpu_microwatt/vhdl/tests/686.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,596 root INFO copying pythondata_cpu_microwatt/vhdl/tests/687.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/687.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,598 root INFO copying pythondata_cpu_microwatt/vhdl/tests/688.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,599 root INFO copying pythondata_cpu_microwatt/vhdl/tests/688.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,600 root INFO copying pythondata_cpu_microwatt/vhdl/tests/689.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/689.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/69.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,602 root INFO copying pythondata_cpu_microwatt/vhdl/tests/69.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/690.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/690.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/691.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,606 root INFO copying pythondata_cpu_microwatt/vhdl/tests/691.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,607 root INFO copying pythondata_cpu_microwatt/vhdl/tests/692.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/692.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/693.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,609 root INFO copying pythondata_cpu_microwatt/vhdl/tests/693.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/694.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/694.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/695.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/695.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/696.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/696.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/697.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/697.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/698.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,618 root INFO copying pythondata_cpu_microwatt/vhdl/tests/698.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/699.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,620 root INFO copying pythondata_cpu_microwatt/vhdl/tests/699.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/7.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/7.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/70.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/70.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/700.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/700.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/701.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/701.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/702.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/702.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/703.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/703.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,631 root INFO copying pythondata_cpu_microwatt/vhdl/tests/704.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,632 root INFO copying pythondata_cpu_microwatt/vhdl/tests/704.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/705.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/705.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,635 root INFO copying pythondata_cpu_microwatt/vhdl/tests/706.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,635 root INFO copying pythondata_cpu_microwatt/vhdl/tests/706.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/707.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,637 root INFO copying pythondata_cpu_microwatt/vhdl/tests/707.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/708.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,639 root INFO copying pythondata_cpu_microwatt/vhdl/tests/708.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/709.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/709.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/71.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,642 root INFO copying pythondata_cpu_microwatt/vhdl/tests/71.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/710.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,644 root INFO copying pythondata_cpu_microwatt/vhdl/tests/710.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,645 root INFO copying pythondata_cpu_microwatt/vhdl/tests/711.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,646 root INFO copying pythondata_cpu_microwatt/vhdl/tests/711.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/712.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/712.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/713.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,649 root INFO copying pythondata_cpu_microwatt/vhdl/tests/713.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/714.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,651 root INFO copying pythondata_cpu_microwatt/vhdl/tests/714.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,652 root INFO copying pythondata_cpu_microwatt/vhdl/tests/715.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/715.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,654 root INFO copying pythondata_cpu_microwatt/vhdl/tests/716.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/716.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/717.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,656 root INFO copying pythondata_cpu_microwatt/vhdl/tests/717.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,657 root INFO copying pythondata_cpu_microwatt/vhdl/tests/718.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,658 root INFO copying pythondata_cpu_microwatt/vhdl/tests/718.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,659 root INFO copying pythondata_cpu_microwatt/vhdl/tests/719.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,660 root INFO copying pythondata_cpu_microwatt/vhdl/tests/719.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/72.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/72.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/720.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,663 root INFO copying pythondata_cpu_microwatt/vhdl/tests/720.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/721.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,665 root INFO copying pythondata_cpu_microwatt/vhdl/tests/721.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,666 root INFO copying pythondata_cpu_microwatt/vhdl/tests/722.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/722.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/723.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/723.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/724.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,670 root INFO copying pythondata_cpu_microwatt/vhdl/tests/724.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,671 root INFO copying pythondata_cpu_microwatt/vhdl/tests/725.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,672 root INFO copying pythondata_cpu_microwatt/vhdl/tests/725.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,673 root INFO copying pythondata_cpu_microwatt/vhdl/tests/726.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,674 root INFO copying pythondata_cpu_microwatt/vhdl/tests/726.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,675 root INFO copying pythondata_cpu_microwatt/vhdl/tests/727.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/727.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/728.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/728.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,678 root INFO copying pythondata_cpu_microwatt/vhdl/tests/729.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,679 root INFO copying pythondata_cpu_microwatt/vhdl/tests/729.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,680 root INFO copying pythondata_cpu_microwatt/vhdl/tests/73.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,681 root INFO copying pythondata_cpu_microwatt/vhdl/tests/73.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/730.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/730.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/731.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/731.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,685 root INFO copying pythondata_cpu_microwatt/vhdl/tests/732.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/732.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,687 root INFO copying pythondata_cpu_microwatt/vhdl/tests/733.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/733.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,689 root INFO copying pythondata_cpu_microwatt/vhdl/tests/734.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/734.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/735.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/735.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,692 root INFO copying pythondata_cpu_microwatt/vhdl/tests/736.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,693 root INFO copying pythondata_cpu_microwatt/vhdl/tests/736.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,694 root INFO copying pythondata_cpu_microwatt/vhdl/tests/737.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,695 root INFO copying pythondata_cpu_microwatt/vhdl/tests/737.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/738.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/738.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/739.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/739.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,699 root INFO copying pythondata_cpu_microwatt/vhdl/tests/74.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/74.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,701 root INFO copying pythondata_cpu_microwatt/vhdl/tests/740.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/740.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/741.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/741.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/742.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/742.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/743.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/743.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,708 root INFO copying pythondata_cpu_microwatt/vhdl/tests/744.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/744.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/745.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/745.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/746.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/746.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,713 root INFO copying pythondata_cpu_microwatt/vhdl/tests/747.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/747.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/748.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/748.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/749.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/749.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/75.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/75.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,720 root INFO copying pythondata_cpu_microwatt/vhdl/tests/750.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/750.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/751.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,723 root INFO copying pythondata_cpu_microwatt/vhdl/tests/751.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/752.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/752.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/753.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/753.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/754.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/754.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/755.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/755.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/756.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/756.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/757.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/757.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/758.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/758.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/759.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,737 root INFO copying pythondata_cpu_microwatt/vhdl/tests/759.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/76.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/76.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/760.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/760.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/761.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,742 root INFO copying pythondata_cpu_microwatt/vhdl/tests/761.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/762.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/762.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/763.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/763.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/764.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/764.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/765.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,749 root INFO copying pythondata_cpu_microwatt/vhdl/tests/765.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/766.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,751 root INFO copying pythondata_cpu_microwatt/vhdl/tests/766.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/767.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/767.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/768.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/768.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/769.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/769.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,757 root INFO copying pythondata_cpu_microwatt/vhdl/tests/77.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,758 root INFO copying pythondata_cpu_microwatt/vhdl/tests/77.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,759 root INFO copying pythondata_cpu_microwatt/vhdl/tests/770.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/770.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/771.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/771.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,762 root INFO copying pythondata_cpu_microwatt/vhdl/tests/772.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/772.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/773.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/773.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/774.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/774.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/775.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/775.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/776.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/776.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/777.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,772 root INFO copying pythondata_cpu_microwatt/vhdl/tests/777.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,773 root INFO copying pythondata_cpu_microwatt/vhdl/tests/778.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,774 root INFO copying pythondata_cpu_microwatt/vhdl/tests/778.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,775 root INFO copying pythondata_cpu_microwatt/vhdl/tests/779.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,775 root INFO copying pythondata_cpu_microwatt/vhdl/tests/779.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,776 root INFO copying pythondata_cpu_microwatt/vhdl/tests/78.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,777 root INFO copying pythondata_cpu_microwatt/vhdl/tests/78.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,778 root INFO copying pythondata_cpu_microwatt/vhdl/tests/780.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,779 root INFO copying pythondata_cpu_microwatt/vhdl/tests/780.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,780 root INFO copying pythondata_cpu_microwatt/vhdl/tests/781.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,781 root INFO copying pythondata_cpu_microwatt/vhdl/tests/781.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,782 root INFO copying pythondata_cpu_microwatt/vhdl/tests/782.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,783 root INFO copying pythondata_cpu_microwatt/vhdl/tests/782.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,783 root INFO copying pythondata_cpu_microwatt/vhdl/tests/783.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,784 root INFO copying pythondata_cpu_microwatt/vhdl/tests/783.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,785 root INFO copying pythondata_cpu_microwatt/vhdl/tests/784.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,786 root INFO copying pythondata_cpu_microwatt/vhdl/tests/784.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,787 root INFO copying pythondata_cpu_microwatt/vhdl/tests/785.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,788 root INFO copying pythondata_cpu_microwatt/vhdl/tests/785.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,789 root INFO copying pythondata_cpu_microwatt/vhdl/tests/786.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,790 root INFO copying pythondata_cpu_microwatt/vhdl/tests/786.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,790 root INFO copying pythondata_cpu_microwatt/vhdl/tests/787.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,791 root INFO copying pythondata_cpu_microwatt/vhdl/tests/787.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,792 root INFO copying pythondata_cpu_microwatt/vhdl/tests/788.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,793 root INFO copying pythondata_cpu_microwatt/vhdl/tests/788.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,794 root INFO copying pythondata_cpu_microwatt/vhdl/tests/789.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,795 root INFO copying pythondata_cpu_microwatt/vhdl/tests/789.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,796 root INFO copying pythondata_cpu_microwatt/vhdl/tests/79.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,797 root INFO copying pythondata_cpu_microwatt/vhdl/tests/79.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,797 root INFO copying pythondata_cpu_microwatt/vhdl/tests/790.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,798 root INFO copying pythondata_cpu_microwatt/vhdl/tests/790.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,799 root INFO copying pythondata_cpu_microwatt/vhdl/tests/791.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,800 root INFO copying pythondata_cpu_microwatt/vhdl/tests/791.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,801 root INFO copying pythondata_cpu_microwatt/vhdl/tests/792.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,802 root INFO copying pythondata_cpu_microwatt/vhdl/tests/792.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/793.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/793.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/794.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/794.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/795.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/795.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/796.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/796.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/797.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,811 root INFO copying pythondata_cpu_microwatt/vhdl/tests/797.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/798.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/798.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/799.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/799.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/8.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/8.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,817 root INFO copying pythondata_cpu_microwatt/vhdl/tests/80.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,818 root INFO copying pythondata_cpu_microwatt/vhdl/tests/80.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,819 root INFO copying pythondata_cpu_microwatt/vhdl/tests/800.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,820 root INFO copying pythondata_cpu_microwatt/vhdl/tests/800.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,820 root INFO copying pythondata_cpu_microwatt/vhdl/tests/801.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,821 root INFO copying pythondata_cpu_microwatt/vhdl/tests/801.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,822 root INFO copying pythondata_cpu_microwatt/vhdl/tests/802.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,823 root INFO copying pythondata_cpu_microwatt/vhdl/tests/802.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,824 root INFO copying pythondata_cpu_microwatt/vhdl/tests/803.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,825 root INFO copying pythondata_cpu_microwatt/vhdl/tests/803.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,826 root INFO copying pythondata_cpu_microwatt/vhdl/tests/804.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,827 root INFO copying pythondata_cpu_microwatt/vhdl/tests/804.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,827 root INFO copying pythondata_cpu_microwatt/vhdl/tests/805.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,828 root INFO copying pythondata_cpu_microwatt/vhdl/tests/805.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,829 root INFO copying pythondata_cpu_microwatt/vhdl/tests/806.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,830 root INFO copying pythondata_cpu_microwatt/vhdl/tests/806.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,831 root INFO copying pythondata_cpu_microwatt/vhdl/tests/807.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,832 root INFO copying pythondata_cpu_microwatt/vhdl/tests/807.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,833 root INFO copying pythondata_cpu_microwatt/vhdl/tests/808.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,834 root INFO copying pythondata_cpu_microwatt/vhdl/tests/808.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,834 root INFO copying pythondata_cpu_microwatt/vhdl/tests/809.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,835 root INFO copying pythondata_cpu_microwatt/vhdl/tests/809.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,836 root INFO copying pythondata_cpu_microwatt/vhdl/tests/81.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,837 root INFO copying pythondata_cpu_microwatt/vhdl/tests/81.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,838 root INFO copying pythondata_cpu_microwatt/vhdl/tests/810.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,839 root INFO copying pythondata_cpu_microwatt/vhdl/tests/810.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,840 root INFO copying pythondata_cpu_microwatt/vhdl/tests/811.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,841 root INFO copying pythondata_cpu_microwatt/vhdl/tests/811.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,841 root INFO copying pythondata_cpu_microwatt/vhdl/tests/812.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,842 root INFO copying pythondata_cpu_microwatt/vhdl/tests/812.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,843 root INFO copying pythondata_cpu_microwatt/vhdl/tests/813.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,844 root INFO copying pythondata_cpu_microwatt/vhdl/tests/813.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,845 root INFO copying pythondata_cpu_microwatt/vhdl/tests/814.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,846 root INFO copying pythondata_cpu_microwatt/vhdl/tests/814.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,847 root INFO copying pythondata_cpu_microwatt/vhdl/tests/815.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,848 root INFO copying pythondata_cpu_microwatt/vhdl/tests/815.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,848 root INFO copying pythondata_cpu_microwatt/vhdl/tests/816.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,849 root INFO copying pythondata_cpu_microwatt/vhdl/tests/816.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,850 root INFO copying pythondata_cpu_microwatt/vhdl/tests/817.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,851 root INFO copying pythondata_cpu_microwatt/vhdl/tests/817.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,852 root INFO copying pythondata_cpu_microwatt/vhdl/tests/818.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,853 root INFO copying pythondata_cpu_microwatt/vhdl/tests/818.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,854 root INFO copying pythondata_cpu_microwatt/vhdl/tests/819.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,855 root INFO copying pythondata_cpu_microwatt/vhdl/tests/819.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,855 root INFO copying pythondata_cpu_microwatt/vhdl/tests/82.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,856 root INFO copying pythondata_cpu_microwatt/vhdl/tests/82.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,857 root INFO copying pythondata_cpu_microwatt/vhdl/tests/820.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,858 root INFO copying pythondata_cpu_microwatt/vhdl/tests/820.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,859 root INFO copying pythondata_cpu_microwatt/vhdl/tests/821.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,860 root INFO copying pythondata_cpu_microwatt/vhdl/tests/821.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,861 root INFO copying pythondata_cpu_microwatt/vhdl/tests/822.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,862 root INFO copying pythondata_cpu_microwatt/vhdl/tests/822.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,862 root INFO copying pythondata_cpu_microwatt/vhdl/tests/823.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,863 root INFO copying pythondata_cpu_microwatt/vhdl/tests/823.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,864 root INFO copying pythondata_cpu_microwatt/vhdl/tests/824.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,865 root INFO copying pythondata_cpu_microwatt/vhdl/tests/824.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,866 root INFO copying pythondata_cpu_microwatt/vhdl/tests/825.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,867 root INFO copying pythondata_cpu_microwatt/vhdl/tests/825.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,868 root INFO copying pythondata_cpu_microwatt/vhdl/tests/826.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,869 root INFO copying pythondata_cpu_microwatt/vhdl/tests/826.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,870 root INFO copying pythondata_cpu_microwatt/vhdl/tests/827.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,870 root INFO copying pythondata_cpu_microwatt/vhdl/tests/827.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,871 root INFO copying pythondata_cpu_microwatt/vhdl/tests/828.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,872 root INFO copying pythondata_cpu_microwatt/vhdl/tests/828.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,873 root INFO copying pythondata_cpu_microwatt/vhdl/tests/829.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,874 root INFO copying pythondata_cpu_microwatt/vhdl/tests/829.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,875 root INFO copying pythondata_cpu_microwatt/vhdl/tests/83.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,876 root INFO copying pythondata_cpu_microwatt/vhdl/tests/83.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,877 root INFO copying pythondata_cpu_microwatt/vhdl/tests/830.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,878 root INFO copying pythondata_cpu_microwatt/vhdl/tests/830.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,878 root INFO copying pythondata_cpu_microwatt/vhdl/tests/831.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,879 root INFO copying pythondata_cpu_microwatt/vhdl/tests/831.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,880 root INFO copying pythondata_cpu_microwatt/vhdl/tests/832.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,881 root INFO copying pythondata_cpu_microwatt/vhdl/tests/832.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,882 root INFO copying pythondata_cpu_microwatt/vhdl/tests/833.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,883 root INFO copying pythondata_cpu_microwatt/vhdl/tests/833.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,883 root INFO copying pythondata_cpu_microwatt/vhdl/tests/834.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,884 root INFO copying pythondata_cpu_microwatt/vhdl/tests/834.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,885 root INFO copying pythondata_cpu_microwatt/vhdl/tests/835.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,886 root INFO copying pythondata_cpu_microwatt/vhdl/tests/835.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,887 root INFO copying pythondata_cpu_microwatt/vhdl/tests/836.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,888 root INFO copying pythondata_cpu_microwatt/vhdl/tests/836.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,889 root INFO copying pythondata_cpu_microwatt/vhdl/tests/837.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,890 root INFO copying pythondata_cpu_microwatt/vhdl/tests/837.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,891 root INFO copying pythondata_cpu_microwatt/vhdl/tests/838.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,891 root INFO copying pythondata_cpu_microwatt/vhdl/tests/838.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,892 root INFO copying pythondata_cpu_microwatt/vhdl/tests/839.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,893 root INFO copying pythondata_cpu_microwatt/vhdl/tests/839.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,894 root INFO copying pythondata_cpu_microwatt/vhdl/tests/84.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,895 root INFO copying pythondata_cpu_microwatt/vhdl/tests/84.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,896 root INFO copying pythondata_cpu_microwatt/vhdl/tests/840.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,897 root INFO copying pythondata_cpu_microwatt/vhdl/tests/840.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,898 root INFO copying pythondata_cpu_microwatt/vhdl/tests/841.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,899 root INFO copying pythondata_cpu_microwatt/vhdl/tests/841.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,899 root INFO copying pythondata_cpu_microwatt/vhdl/tests/842.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,900 root INFO copying pythondata_cpu_microwatt/vhdl/tests/842.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,901 root INFO copying pythondata_cpu_microwatt/vhdl/tests/843.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,902 root INFO copying pythondata_cpu_microwatt/vhdl/tests/843.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,903 root INFO copying pythondata_cpu_microwatt/vhdl/tests/844.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,904 root INFO copying pythondata_cpu_microwatt/vhdl/tests/844.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,905 root INFO copying pythondata_cpu_microwatt/vhdl/tests/845.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,906 root INFO copying pythondata_cpu_microwatt/vhdl/tests/845.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,906 root INFO copying pythondata_cpu_microwatt/vhdl/tests/846.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,907 root INFO copying pythondata_cpu_microwatt/vhdl/tests/846.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,908 root INFO copying pythondata_cpu_microwatt/vhdl/tests/847.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,909 root INFO copying pythondata_cpu_microwatt/vhdl/tests/847.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,910 root INFO copying pythondata_cpu_microwatt/vhdl/tests/848.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,911 root INFO copying pythondata_cpu_microwatt/vhdl/tests/848.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,912 root INFO copying pythondata_cpu_microwatt/vhdl/tests/849.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,913 root INFO copying pythondata_cpu_microwatt/vhdl/tests/849.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,913 root INFO copying pythondata_cpu_microwatt/vhdl/tests/85.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,914 root INFO copying pythondata_cpu_microwatt/vhdl/tests/85.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,915 root INFO copying pythondata_cpu_microwatt/vhdl/tests/850.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,916 root INFO copying pythondata_cpu_microwatt/vhdl/tests/850.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,917 root INFO copying pythondata_cpu_microwatt/vhdl/tests/851.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,918 root INFO copying pythondata_cpu_microwatt/vhdl/tests/851.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,919 root INFO copying pythondata_cpu_microwatt/vhdl/tests/852.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,920 root INFO copying pythondata_cpu_microwatt/vhdl/tests/852.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,920 root INFO copying pythondata_cpu_microwatt/vhdl/tests/853.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,921 root INFO copying pythondata_cpu_microwatt/vhdl/tests/853.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,922 root INFO copying pythondata_cpu_microwatt/vhdl/tests/854.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,923 root INFO copying pythondata_cpu_microwatt/vhdl/tests/854.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,924 root INFO copying pythondata_cpu_microwatt/vhdl/tests/855.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,925 root INFO copying pythondata_cpu_microwatt/vhdl/tests/855.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,926 root INFO copying pythondata_cpu_microwatt/vhdl/tests/856.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,927 root INFO copying pythondata_cpu_microwatt/vhdl/tests/856.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,927 root INFO copying pythondata_cpu_microwatt/vhdl/tests/857.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,928 root INFO copying pythondata_cpu_microwatt/vhdl/tests/857.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,929 root INFO copying pythondata_cpu_microwatt/vhdl/tests/858.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,930 root INFO copying pythondata_cpu_microwatt/vhdl/tests/858.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,931 root INFO copying pythondata_cpu_microwatt/vhdl/tests/859.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,932 root INFO copying pythondata_cpu_microwatt/vhdl/tests/859.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,933 root INFO copying pythondata_cpu_microwatt/vhdl/tests/86.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,934 root INFO copying pythondata_cpu_microwatt/vhdl/tests/86.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,934 root INFO copying pythondata_cpu_microwatt/vhdl/tests/860.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,935 root INFO copying pythondata_cpu_microwatt/vhdl/tests/860.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,936 root INFO copying pythondata_cpu_microwatt/vhdl/tests/861.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,937 root INFO copying pythondata_cpu_microwatt/vhdl/tests/861.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,938 root INFO copying pythondata_cpu_microwatt/vhdl/tests/862.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,939 root INFO copying pythondata_cpu_microwatt/vhdl/tests/862.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,940 root INFO copying pythondata_cpu_microwatt/vhdl/tests/863.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,941 root INFO copying pythondata_cpu_microwatt/vhdl/tests/863.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,941 root INFO copying pythondata_cpu_microwatt/vhdl/tests/864.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,942 root INFO copying pythondata_cpu_microwatt/vhdl/tests/864.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,943 root INFO copying pythondata_cpu_microwatt/vhdl/tests/865.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,944 root INFO copying pythondata_cpu_microwatt/vhdl/tests/865.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,945 root INFO copying pythondata_cpu_microwatt/vhdl/tests/866.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,946 root INFO copying pythondata_cpu_microwatt/vhdl/tests/866.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,947 root INFO copying pythondata_cpu_microwatt/vhdl/tests/867.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,948 root INFO copying pythondata_cpu_microwatt/vhdl/tests/867.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,948 root INFO copying pythondata_cpu_microwatt/vhdl/tests/868.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,949 root INFO copying pythondata_cpu_microwatt/vhdl/tests/868.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,950 root INFO copying pythondata_cpu_microwatt/vhdl/tests/869.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,951 root INFO copying pythondata_cpu_microwatt/vhdl/tests/869.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,952 root INFO copying pythondata_cpu_microwatt/vhdl/tests/87.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,953 root INFO copying pythondata_cpu_microwatt/vhdl/tests/87.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,954 root INFO copying pythondata_cpu_microwatt/vhdl/tests/870.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,955 root INFO copying pythondata_cpu_microwatt/vhdl/tests/870.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,955 root INFO copying pythondata_cpu_microwatt/vhdl/tests/871.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,956 root INFO copying pythondata_cpu_microwatt/vhdl/tests/871.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,957 root INFO copying pythondata_cpu_microwatt/vhdl/tests/872.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,958 root INFO copying pythondata_cpu_microwatt/vhdl/tests/872.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,959 root INFO copying pythondata_cpu_microwatt/vhdl/tests/873.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,960 root INFO copying pythondata_cpu_microwatt/vhdl/tests/873.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,961 root INFO copying pythondata_cpu_microwatt/vhdl/tests/874.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,962 root INFO copying pythondata_cpu_microwatt/vhdl/tests/874.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,962 root INFO copying pythondata_cpu_microwatt/vhdl/tests/875.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,963 root INFO copying pythondata_cpu_microwatt/vhdl/tests/875.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,964 root INFO copying pythondata_cpu_microwatt/vhdl/tests/876.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,965 root INFO copying pythondata_cpu_microwatt/vhdl/tests/876.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,966 root INFO copying pythondata_cpu_microwatt/vhdl/tests/877.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,967 root INFO copying pythondata_cpu_microwatt/vhdl/tests/877.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,968 root INFO copying pythondata_cpu_microwatt/vhdl/tests/878.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,969 root INFO copying pythondata_cpu_microwatt/vhdl/tests/878.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,969 root INFO copying pythondata_cpu_microwatt/vhdl/tests/879.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,970 root INFO copying pythondata_cpu_microwatt/vhdl/tests/879.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,971 root INFO copying pythondata_cpu_microwatt/vhdl/tests/88.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,972 root INFO copying pythondata_cpu_microwatt/vhdl/tests/88.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,973 root INFO copying pythondata_cpu_microwatt/vhdl/tests/880.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,974 root INFO copying pythondata_cpu_microwatt/vhdl/tests/880.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,975 root INFO copying pythondata_cpu_microwatt/vhdl/tests/881.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,976 root INFO copying pythondata_cpu_microwatt/vhdl/tests/881.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,977 root INFO copying pythondata_cpu_microwatt/vhdl/tests/882.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,978 root INFO copying pythondata_cpu_microwatt/vhdl/tests/882.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,978 root INFO copying pythondata_cpu_microwatt/vhdl/tests/883.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,979 root INFO copying pythondata_cpu_microwatt/vhdl/tests/883.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,980 root INFO copying pythondata_cpu_microwatt/vhdl/tests/884.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,981 root INFO copying pythondata_cpu_microwatt/vhdl/tests/884.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,982 root INFO copying pythondata_cpu_microwatt/vhdl/tests/885.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,983 root INFO copying pythondata_cpu_microwatt/vhdl/tests/885.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,984 root INFO copying pythondata_cpu_microwatt/vhdl/tests/886.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,985 root INFO copying pythondata_cpu_microwatt/vhdl/tests/886.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,985 root INFO copying pythondata_cpu_microwatt/vhdl/tests/887.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,986 root INFO copying pythondata_cpu_microwatt/vhdl/tests/887.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,987 root INFO copying pythondata_cpu_microwatt/vhdl/tests/888.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,988 root INFO copying pythondata_cpu_microwatt/vhdl/tests/888.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,989 root INFO copying pythondata_cpu_microwatt/vhdl/tests/889.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,990 root INFO copying pythondata_cpu_microwatt/vhdl/tests/889.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,991 root INFO copying pythondata_cpu_microwatt/vhdl/tests/89.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,992 root INFO copying pythondata_cpu_microwatt/vhdl/tests/89.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,993 root INFO copying pythondata_cpu_microwatt/vhdl/tests/890.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,994 root INFO copying pythondata_cpu_microwatt/vhdl/tests/890.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,994 root INFO copying pythondata_cpu_microwatt/vhdl/tests/891.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,995 root INFO copying pythondata_cpu_microwatt/vhdl/tests/891.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,996 root INFO copying pythondata_cpu_microwatt/vhdl/tests/892.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,997 root INFO copying pythondata_cpu_microwatt/vhdl/tests/892.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,998 root INFO copying pythondata_cpu_microwatt/vhdl/tests/893.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:13,999 root INFO copying pythondata_cpu_microwatt/vhdl/tests/893.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,000 root INFO copying pythondata_cpu_microwatt/vhdl/tests/894.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,001 root INFO copying pythondata_cpu_microwatt/vhdl/tests/894.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,001 root INFO copying pythondata_cpu_microwatt/vhdl/tests/895.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,002 root INFO copying pythondata_cpu_microwatt/vhdl/tests/895.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,003 root INFO copying pythondata_cpu_microwatt/vhdl/tests/896.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,004 root INFO copying pythondata_cpu_microwatt/vhdl/tests/896.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,005 root INFO copying pythondata_cpu_microwatt/vhdl/tests/897.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,006 root INFO copying pythondata_cpu_microwatt/vhdl/tests/897.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,007 root INFO copying pythondata_cpu_microwatt/vhdl/tests/898.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,008 root INFO copying pythondata_cpu_microwatt/vhdl/tests/898.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,008 root INFO copying pythondata_cpu_microwatt/vhdl/tests/899.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,010 root INFO copying pythondata_cpu_microwatt/vhdl/tests/899.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,010 root INFO copying pythondata_cpu_microwatt/vhdl/tests/9.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,011 root INFO copying pythondata_cpu_microwatt/vhdl/tests/9.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,012 root INFO copying pythondata_cpu_microwatt/vhdl/tests/90.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,013 root INFO copying pythondata_cpu_microwatt/vhdl/tests/90.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,014 root INFO copying pythondata_cpu_microwatt/vhdl/tests/900.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,015 root INFO copying pythondata_cpu_microwatt/vhdl/tests/900.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,016 root INFO copying pythondata_cpu_microwatt/vhdl/tests/901.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,017 root INFO copying pythondata_cpu_microwatt/vhdl/tests/901.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,017 root INFO copying pythondata_cpu_microwatt/vhdl/tests/902.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,018 root INFO copying pythondata_cpu_microwatt/vhdl/tests/902.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,019 root INFO copying pythondata_cpu_microwatt/vhdl/tests/903.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,020 root INFO copying pythondata_cpu_microwatt/vhdl/tests/903.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,021 root INFO copying pythondata_cpu_microwatt/vhdl/tests/904.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,022 root INFO copying pythondata_cpu_microwatt/vhdl/tests/904.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,023 root INFO copying pythondata_cpu_microwatt/vhdl/tests/905.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,024 root INFO copying pythondata_cpu_microwatt/vhdl/tests/905.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,024 root INFO copying pythondata_cpu_microwatt/vhdl/tests/906.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,025 root INFO copying pythondata_cpu_microwatt/vhdl/tests/906.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,026 root INFO copying pythondata_cpu_microwatt/vhdl/tests/907.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,027 root INFO copying pythondata_cpu_microwatt/vhdl/tests/907.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,028 root INFO copying pythondata_cpu_microwatt/vhdl/tests/908.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,029 root INFO copying pythondata_cpu_microwatt/vhdl/tests/908.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,030 root INFO copying pythondata_cpu_microwatt/vhdl/tests/909.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,031 root INFO copying pythondata_cpu_microwatt/vhdl/tests/909.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,031 root INFO copying pythondata_cpu_microwatt/vhdl/tests/91.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,032 root INFO copying pythondata_cpu_microwatt/vhdl/tests/91.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,033 root INFO copying pythondata_cpu_microwatt/vhdl/tests/910.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,034 root INFO copying pythondata_cpu_microwatt/vhdl/tests/910.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,035 root INFO copying pythondata_cpu_microwatt/vhdl/tests/911.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,036 root INFO copying pythondata_cpu_microwatt/vhdl/tests/911.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,037 root INFO copying pythondata_cpu_microwatt/vhdl/tests/912.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,038 root INFO copying pythondata_cpu_microwatt/vhdl/tests/912.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,038 root INFO copying pythondata_cpu_microwatt/vhdl/tests/913.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,039 root INFO copying pythondata_cpu_microwatt/vhdl/tests/913.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,040 root INFO copying pythondata_cpu_microwatt/vhdl/tests/914.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,041 root INFO copying pythondata_cpu_microwatt/vhdl/tests/914.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,042 root INFO copying pythondata_cpu_microwatt/vhdl/tests/915.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,043 root INFO copying pythondata_cpu_microwatt/vhdl/tests/915.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,044 root INFO copying pythondata_cpu_microwatt/vhdl/tests/916.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,045 root INFO copying pythondata_cpu_microwatt/vhdl/tests/916.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,045 root INFO copying pythondata_cpu_microwatt/vhdl/tests/917.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,046 root INFO copying pythondata_cpu_microwatt/vhdl/tests/917.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,047 root INFO copying pythondata_cpu_microwatt/vhdl/tests/918.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,048 root INFO copying pythondata_cpu_microwatt/vhdl/tests/918.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,049 root INFO copying pythondata_cpu_microwatt/vhdl/tests/919.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,050 root INFO copying pythondata_cpu_microwatt/vhdl/tests/919.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,051 root INFO copying pythondata_cpu_microwatt/vhdl/tests/92.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,052 root INFO copying pythondata_cpu_microwatt/vhdl/tests/92.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,052 root INFO copying pythondata_cpu_microwatt/vhdl/tests/920.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,053 root INFO copying pythondata_cpu_microwatt/vhdl/tests/920.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,054 root INFO copying pythondata_cpu_microwatt/vhdl/tests/921.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,055 root INFO copying pythondata_cpu_microwatt/vhdl/tests/921.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,056 root INFO copying pythondata_cpu_microwatt/vhdl/tests/922.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,057 root INFO copying pythondata_cpu_microwatt/vhdl/tests/922.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,058 root INFO copying pythondata_cpu_microwatt/vhdl/tests/923.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,059 root INFO copying pythondata_cpu_microwatt/vhdl/tests/923.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,060 root INFO copying pythondata_cpu_microwatt/vhdl/tests/924.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,060 root INFO copying pythondata_cpu_microwatt/vhdl/tests/924.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,061 root INFO copying pythondata_cpu_microwatt/vhdl/tests/925.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,062 root INFO copying pythondata_cpu_microwatt/vhdl/tests/925.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,063 root INFO copying pythondata_cpu_microwatt/vhdl/tests/926.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,064 root INFO copying pythondata_cpu_microwatt/vhdl/tests/926.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,065 root INFO copying pythondata_cpu_microwatt/vhdl/tests/927.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,066 root INFO copying pythondata_cpu_microwatt/vhdl/tests/927.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,067 root INFO copying pythondata_cpu_microwatt/vhdl/tests/928.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,068 root INFO copying pythondata_cpu_microwatt/vhdl/tests/928.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,068 root INFO copying pythondata_cpu_microwatt/vhdl/tests/929.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,069 root INFO copying pythondata_cpu_microwatt/vhdl/tests/929.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,070 root INFO copying pythondata_cpu_microwatt/vhdl/tests/93.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,071 root INFO copying pythondata_cpu_microwatt/vhdl/tests/93.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,072 root INFO copying pythondata_cpu_microwatt/vhdl/tests/930.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,073 root INFO copying pythondata_cpu_microwatt/vhdl/tests/930.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,074 root INFO copying pythondata_cpu_microwatt/vhdl/tests/931.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,075 root INFO copying pythondata_cpu_microwatt/vhdl/tests/931.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,075 root INFO copying pythondata_cpu_microwatt/vhdl/tests/932.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,076 root INFO copying pythondata_cpu_microwatt/vhdl/tests/932.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,077 root INFO copying pythondata_cpu_microwatt/vhdl/tests/933.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,078 root INFO copying pythondata_cpu_microwatt/vhdl/tests/933.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,079 root INFO copying pythondata_cpu_microwatt/vhdl/tests/934.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,080 root INFO copying pythondata_cpu_microwatt/vhdl/tests/934.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,081 root INFO copying pythondata_cpu_microwatt/vhdl/tests/935.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,082 root INFO copying pythondata_cpu_microwatt/vhdl/tests/935.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,082 root INFO copying pythondata_cpu_microwatt/vhdl/tests/936.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,083 root INFO copying pythondata_cpu_microwatt/vhdl/tests/936.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,084 root INFO copying pythondata_cpu_microwatt/vhdl/tests/937.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,085 root INFO copying pythondata_cpu_microwatt/vhdl/tests/937.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,086 root INFO copying pythondata_cpu_microwatt/vhdl/tests/938.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,087 root INFO copying pythondata_cpu_microwatt/vhdl/tests/938.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,088 root INFO copying pythondata_cpu_microwatt/vhdl/tests/939.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,089 root INFO copying pythondata_cpu_microwatt/vhdl/tests/939.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,090 root INFO copying pythondata_cpu_microwatt/vhdl/tests/94.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,090 root INFO copying pythondata_cpu_microwatt/vhdl/tests/94.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,091 root INFO copying pythondata_cpu_microwatt/vhdl/tests/940.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,092 root INFO copying pythondata_cpu_microwatt/vhdl/tests/940.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,093 root INFO copying pythondata_cpu_microwatt/vhdl/tests/941.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,094 root INFO copying pythondata_cpu_microwatt/vhdl/tests/941.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,095 root INFO copying pythondata_cpu_microwatt/vhdl/tests/942.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,096 root INFO copying pythondata_cpu_microwatt/vhdl/tests/942.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,097 root INFO copying pythondata_cpu_microwatt/vhdl/tests/943.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,098 root INFO copying pythondata_cpu_microwatt/vhdl/tests/943.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,098 root INFO copying pythondata_cpu_microwatt/vhdl/tests/944.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,099 root INFO copying pythondata_cpu_microwatt/vhdl/tests/944.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,100 root INFO copying pythondata_cpu_microwatt/vhdl/tests/945.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,101 root INFO copying pythondata_cpu_microwatt/vhdl/tests/945.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,102 root INFO copying pythondata_cpu_microwatt/vhdl/tests/946.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,103 root INFO copying pythondata_cpu_microwatt/vhdl/tests/946.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,104 root INFO copying pythondata_cpu_microwatt/vhdl/tests/947.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,105 root INFO copying pythondata_cpu_microwatt/vhdl/tests/947.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,105 root INFO copying pythondata_cpu_microwatt/vhdl/tests/948.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,106 root INFO copying pythondata_cpu_microwatt/vhdl/tests/948.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,107 root INFO copying pythondata_cpu_microwatt/vhdl/tests/949.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,108 root INFO copying pythondata_cpu_microwatt/vhdl/tests/949.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,109 root INFO copying pythondata_cpu_microwatt/vhdl/tests/95.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,110 root INFO copying pythondata_cpu_microwatt/vhdl/tests/95.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,111 root INFO copying pythondata_cpu_microwatt/vhdl/tests/950.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/950.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/951.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,113 root INFO copying pythondata_cpu_microwatt/vhdl/tests/951.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,114 root INFO copying pythondata_cpu_microwatt/vhdl/tests/952.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,115 root INFO copying pythondata_cpu_microwatt/vhdl/tests/952.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,116 root INFO copying pythondata_cpu_microwatt/vhdl/tests/953.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/953.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,118 root INFO copying pythondata_cpu_microwatt/vhdl/tests/954.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/954.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/955.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,120 root INFO copying pythondata_cpu_microwatt/vhdl/tests/955.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,121 root INFO copying pythondata_cpu_microwatt/vhdl/tests/956.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,122 root INFO copying pythondata_cpu_microwatt/vhdl/tests/956.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,123 root INFO copying pythondata_cpu_microwatt/vhdl/tests/957.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,124 root INFO copying pythondata_cpu_microwatt/vhdl/tests/957.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,125 root INFO copying pythondata_cpu_microwatt/vhdl/tests/958.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/958.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/959.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,127 root INFO copying pythondata_cpu_microwatt/vhdl/tests/959.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,128 root INFO copying pythondata_cpu_microwatt/vhdl/tests/96.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,129 root INFO copying pythondata_cpu_microwatt/vhdl/tests/96.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/960.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,131 root INFO copying pythondata_cpu_microwatt/vhdl/tests/960.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,132 root INFO copying pythondata_cpu_microwatt/vhdl/tests/961.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,133 root INFO copying pythondata_cpu_microwatt/vhdl/tests/961.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,133 root INFO copying pythondata_cpu_microwatt/vhdl/tests/962.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,134 root INFO copying pythondata_cpu_microwatt/vhdl/tests/962.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,135 root INFO copying pythondata_cpu_microwatt/vhdl/tests/963.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/963.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,137 root INFO copying pythondata_cpu_microwatt/vhdl/tests/964.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,138 root INFO copying pythondata_cpu_microwatt/vhdl/tests/964.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,139 root INFO copying pythondata_cpu_microwatt/vhdl/tests/965.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/965.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/966.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,141 root INFO copying pythondata_cpu_microwatt/vhdl/tests/966.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,142 root INFO copying pythondata_cpu_microwatt/vhdl/tests/967.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,143 root INFO copying pythondata_cpu_microwatt/vhdl/tests/967.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,144 root INFO copying pythondata_cpu_microwatt/vhdl/tests/968.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,145 root INFO copying pythondata_cpu_microwatt/vhdl/tests/968.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,146 root INFO copying pythondata_cpu_microwatt/vhdl/tests/969.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/969.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/97.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,148 root INFO copying pythondata_cpu_microwatt/vhdl/tests/97.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,149 root INFO copying pythondata_cpu_microwatt/vhdl/tests/970.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,150 root INFO copying pythondata_cpu_microwatt/vhdl/tests/970.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,151 root INFO copying pythondata_cpu_microwatt/vhdl/tests/971.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,152 root INFO copying pythondata_cpu_microwatt/vhdl/tests/971.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,153 root INFO copying pythondata_cpu_microwatt/vhdl/tests/972.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,153 root INFO copying pythondata_cpu_microwatt/vhdl/tests/972.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/973.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,155 root INFO copying pythondata_cpu_microwatt/vhdl/tests/973.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,156 root INFO copying pythondata_cpu_microwatt/vhdl/tests/974.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,157 root INFO copying pythondata_cpu_microwatt/vhdl/tests/974.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,158 root INFO copying pythondata_cpu_microwatt/vhdl/tests/975.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,159 root INFO copying pythondata_cpu_microwatt/vhdl/tests/975.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,159 root INFO copying pythondata_cpu_microwatt/vhdl/tests/976.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,160 root INFO copying pythondata_cpu_microwatt/vhdl/tests/976.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,161 root INFO copying pythondata_cpu_microwatt/vhdl/tests/977.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,162 root INFO copying pythondata_cpu_microwatt/vhdl/tests/977.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,163 root INFO copying pythondata_cpu_microwatt/vhdl/tests/978.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,164 root INFO copying pythondata_cpu_microwatt/vhdl/tests/978.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,165 root INFO copying pythondata_cpu_microwatt/vhdl/tests/979.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,166 root INFO copying pythondata_cpu_microwatt/vhdl/tests/979.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,166 root INFO copying pythondata_cpu_microwatt/vhdl/tests/98.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,167 root INFO copying pythondata_cpu_microwatt/vhdl/tests/98.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,168 root INFO copying pythondata_cpu_microwatt/vhdl/tests/980.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,169 root INFO copying pythondata_cpu_microwatt/vhdl/tests/980.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,170 root INFO copying pythondata_cpu_microwatt/vhdl/tests/981.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,171 root INFO copying pythondata_cpu_microwatt/vhdl/tests/981.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,172 root INFO copying pythondata_cpu_microwatt/vhdl/tests/982.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,173 root INFO copying pythondata_cpu_microwatt/vhdl/tests/982.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,173 root INFO copying pythondata_cpu_microwatt/vhdl/tests/983.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,174 root INFO copying pythondata_cpu_microwatt/vhdl/tests/983.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,175 root INFO copying pythondata_cpu_microwatt/vhdl/tests/984.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,176 root INFO copying pythondata_cpu_microwatt/vhdl/tests/984.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,177 root INFO copying pythondata_cpu_microwatt/vhdl/tests/985.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,178 root INFO copying pythondata_cpu_microwatt/vhdl/tests/985.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,179 root INFO copying pythondata_cpu_microwatt/vhdl/tests/986.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,180 root INFO copying pythondata_cpu_microwatt/vhdl/tests/986.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,180 root INFO copying pythondata_cpu_microwatt/vhdl/tests/987.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,181 root INFO copying pythondata_cpu_microwatt/vhdl/tests/987.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,182 root INFO copying pythondata_cpu_microwatt/vhdl/tests/988.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,183 root INFO copying pythondata_cpu_microwatt/vhdl/tests/988.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,184 root INFO copying pythondata_cpu_microwatt/vhdl/tests/989.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,185 root INFO copying pythondata_cpu_microwatt/vhdl/tests/989.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,186 root INFO copying pythondata_cpu_microwatt/vhdl/tests/99.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/99.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/990.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,188 root INFO copying pythondata_cpu_microwatt/vhdl/tests/990.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,189 root INFO copying pythondata_cpu_microwatt/vhdl/tests/991.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,190 root INFO copying pythondata_cpu_microwatt/vhdl/tests/991.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,191 root INFO copying pythondata_cpu_microwatt/vhdl/tests/992.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,192 root INFO copying pythondata_cpu_microwatt/vhdl/tests/992.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,193 root INFO copying pythondata_cpu_microwatt/vhdl/tests/993.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/993.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/994.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,195 root INFO copying pythondata_cpu_microwatt/vhdl/tests/994.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,196 root INFO copying pythondata_cpu_microwatt/vhdl/tests/995.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,197 root INFO copying pythondata_cpu_microwatt/vhdl/tests/995.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,198 root INFO copying pythondata_cpu_microwatt/vhdl/tests/996.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,199 root INFO copying pythondata_cpu_microwatt/vhdl/tests/996.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/997.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,201 root INFO copying pythondata_cpu_microwatt/vhdl/tests/997.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,201 root INFO copying pythondata_cpu_microwatt/vhdl/tests/998.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,202 root INFO copying pythondata_cpu_microwatt/vhdl/tests/998.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,203 root INFO copying pythondata_cpu_microwatt/vhdl/tests/999.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/999.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,205 root INFO copying pythondata_cpu_microwatt/vhdl/tests/Makefile.test -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,206 root INFO copying pythondata_cpu_microwatt/vhdl/tests/micropython.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,207 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,208 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,208 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,209 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,210 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,215 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,216 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,217 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,222 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,223 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,224 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,227 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,229 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,230 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,232 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,236 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,239 root INFO copying pythondata_cpu_microwatt/vhdl/tests/update_console_tests -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,240 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,240 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,241 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,242 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,242 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,243 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,244 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,245 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,245 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,246 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,247 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/README -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,248 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,248 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/arty.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,249 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,251 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,253 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,255 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,258 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,259 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,261 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,264 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,264 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/flash-arty -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,265 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,266 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,267 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,268 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,268 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:14,269 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,270 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,270 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,271 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,272 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,273 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,274 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,274 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,275 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,276 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:14,277 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 03:06:14,277 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 03:06:14,278 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/media 2024-01-19 03:06:14,278 root INFO copying pythondata_cpu_microwatt/vhdl/media/microwatt-title.png -> build/lib/pythondata_cpu_microwatt/vhdl/media 2024-01-19 03:06:14,279 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,279 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,280 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,281 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,282 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,282 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,283 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,284 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:14,285 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:14,285 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:14,286 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:14,287 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:14,288 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 03:06:14,288 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 03:06:14,289 root INFO copying pythondata_cpu_microwatt/vhdl/lib/console.c -> build/lib/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 03:06:14,290 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 03:06:14,290 root INFO copying pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp -> build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 03:06:14,291 root INFO copying pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c -> build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 03:06:14,292 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/litedram.core -> build/lib/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 03:06:14,292 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/make_version.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:14,293 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/run_test.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:14,294 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:14,294 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/vhdltags -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:14,295 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 03:06:14,296 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 03:06:14,296 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated 2024-01-19 03:06:14,297 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 03:06:14,297 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 03:06:14,298 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 03:06:14,299 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 03:06:14,300 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,300 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,302 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,303 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,303 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,304 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,307 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,307 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,308 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/trace.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,310 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/misc.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,313 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,313 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:14,314 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:14,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:14,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:14,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/xics.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:14,317 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/xics.h -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:14,318 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:14,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:14,319 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:14,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:14,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:14,321 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:14,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:14,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:14,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:14,324 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:14,325 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:14,325 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:14,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:14,327 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:14,327 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:14,328 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:14,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:14,329 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:14,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:14,331 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/sc.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:14,331 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:14,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:14,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:14,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/modes.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:14,334 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:14,335 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:14,335 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:14,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:14,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:14,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:14,338 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:14,339 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:14,339 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:14,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:14,341 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:14,342 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:14,342 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:14,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:14,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:14,344 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:14,345 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:14,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:14,346 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:14,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:14,348 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:14,348 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 03:06:14,349 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 03:06:14,349 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:14,350 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:14,351 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:14,351 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:14,352 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:14,353 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated 2024-01-19 03:06:14,353 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 03:06:14,354 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 03:06:14,355 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 03:06:14,355 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 03:06:14,356 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 03:06:14,357 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 03:06:14,358 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:14,359 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:14,360 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:14,361 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:14,361 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:14,362 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:14,363 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:14,364 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated 2024-01-19 03:06:14,364 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:14,365 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:14,365 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:14,366 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:14,368 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,369 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,370 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,370 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,371 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,372 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,373 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,374 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,374 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:14,375 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:14,376 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:14,376 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:14,377 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:14,379 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:14,379 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:14,380 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:14,381 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:14,383 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:14,384 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:14,384 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:14,385 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:14,388 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:14,388 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:14,389 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:14,390 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:14,392 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:14,392 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:14,393 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:14,394 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:14,396 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:14,396 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:14,397 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:14,398 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:14,400 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:14,401 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:14,402 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:14,402 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:14,403 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:14,404 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:14,404 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:14,405 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:14,406 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc 2024-01-19 03:06:14,407 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,407 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,408 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,409 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,409 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,410 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,411 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,412 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,413 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,413 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,414 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,415 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,415 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,416 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,417 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,418 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,418 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,419 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,420 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,421 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,421 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,422 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,423 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,424 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,424 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,425 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,426 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:14,427 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,427 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,428 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,429 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,429 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,430 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,431 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,432 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,432 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,433 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,434 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:14,435 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 03:06:14,435 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 03:06:14,436 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 03:06:14,436 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:14,437 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:14,438 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:14,438 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:14,515 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:14,515 root INFO running install 2024-01-19 03:06:14,578 root INFO running install_lib 2024-01-19 03:06:14,587 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:14,587 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:14,588 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt 2024-01-19 03:06:14,588 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,589 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,590 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,590 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dcache.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,591 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/plru_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,592 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/utils.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,592 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/run.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,593 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,594 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rotator.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,594 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/writeback.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,595 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,596 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,596 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,597 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/soc.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,598 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,599 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,599 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,600 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,601 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,601 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,602 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,603 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,603 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,604 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,605 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,606 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 03:06:14,606 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,607 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:14,608 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:14,609 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:14,610 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.elf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 03:06:14,615 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode_types.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,616 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/glibc_random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,617 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:14,617 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:14,618 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:14,619 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 03:06:14,619 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/countbits.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,620 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fetch1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,621 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:14,621 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/console.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:14,622 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/io.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:14,623 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 03:06:14,624 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/control.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,624 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/cr_file.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,625 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,626 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,626 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/predecode.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,627 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,628 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,629 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache_test.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,630 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpu.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,631 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,632 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,632 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,633 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,634 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,635 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,635 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,636 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,637 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,638 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,638 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,639 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,640 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,640 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,641 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,642 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,643 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,643 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,644 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,645 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,646 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,646 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,647 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,648 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,649 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,649 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,650 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,651 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,651 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/firmware.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,653 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,654 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,655 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 03:06:14,656 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,656 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 03:06:14,657 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated 2024-01-19 03:06:14,657 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 03:06:14,658 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 03:06:14,659 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 03:06:14,660 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 03:06:14,661 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 03:06:14,661 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 03:06:14,662 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 03:06:14,662 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 03:06:14,663 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xics.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,664 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,664 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/register_file.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,665 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/cache_ram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:14,670 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,670 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/599.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,671 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/637.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,672 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/714.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,673 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/134.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,674 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/185.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,674 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/716.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,675 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/344.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,676 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/117.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,676 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/806.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,677 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/900.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,678 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/617.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,679 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/966.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,680 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/370.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,680 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/795.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,681 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/773.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,682 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/763.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,682 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/190.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,683 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/187.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,684 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/264.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,685 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/912.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,686 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/860.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,687 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/58.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,687 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/340.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,688 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/461.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,689 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/991.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,690 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/642.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,691 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/869.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,691 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/291.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,692 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/387.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,693 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/927.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,694 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/526.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,695 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/325.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,696 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/779.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,696 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/752.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,697 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/399.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,698 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/729.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,699 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/177.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,700 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/627.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,701 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/725.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,701 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/959.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,702 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/183.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,703 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/77.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,703 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/707.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,704 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/985.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,705 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/886.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,705 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/438.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,706 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/550.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,707 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/780.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,708 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/359.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,709 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/252.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,710 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/733.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,710 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/152.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,711 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/598.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,712 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/885.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,713 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/699.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,713 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/87.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,714 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/20.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,715 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/600.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,716 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/84.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,717 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/35.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,717 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/123.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,718 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/179.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,719 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/504.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,719 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/471.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,720 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/856.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,721 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/472.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,722 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/899.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,722 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/270.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,723 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/810.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,724 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/370.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,725 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/90.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,726 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/890.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,726 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/862.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,727 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/855.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,728 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/946.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,729 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/196.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,730 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/200.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,731 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/968.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,731 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/890.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,732 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/959.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,733 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/16.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,734 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/658.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,735 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/881.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,735 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/602.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,736 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/293.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,737 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/396.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,738 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,739 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/614.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,739 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/858.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,740 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/952.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,741 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/410.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,742 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/397.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,743 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/311.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,743 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/673.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,744 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/116.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,745 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/506.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,746 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/955.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,747 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/91.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,747 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/133.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,748 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/213.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,749 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/106.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,750 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/956.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,751 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/11.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,751 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/563.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,752 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/276.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,753 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/790.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,753 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/917.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,754 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/194.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,755 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/446.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,756 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/644.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,757 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/616.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,757 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/973.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,758 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/225.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,759 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/677.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,760 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/171.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,761 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/263.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,761 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/777.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,762 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/591.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,763 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/314.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,764 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/52.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,764 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/86.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,765 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/607.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,766 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/899.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,767 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/506.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,768 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/688.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,768 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/278.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,769 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/549.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,770 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/427.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,771 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,771 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,772 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,772 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,773 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 03:06:14,774 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/879.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,775 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/725.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,776 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/847.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,776 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/533.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,777 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/150.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,778 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/285.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,779 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/745.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,780 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/648.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,781 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/487.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,781 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/647.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,782 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/362.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,783 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/250.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,784 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/931.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,784 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/629.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,785 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/972.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,786 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/109.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,787 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/212.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,788 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/529.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,789 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/update_console_tests -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,789 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/308.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,790 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/132.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,791 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/964.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,792 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/788.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,793 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/290.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,794 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/376.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,795 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/81.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,795 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/423.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,796 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,797 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/416.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,798 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/835.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,799 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/978.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,799 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/680.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,800 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/486.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,801 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/75.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,802 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/676.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,803 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/727.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,803 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/463.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,804 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/213.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,805 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/875.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,805 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/942.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,806 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/926.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,807 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/46.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,808 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/844.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,808 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/26.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,809 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/372.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,810 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/575.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,811 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,811 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/683.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,812 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/481.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,813 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/574.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,814 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/601.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,814 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/346.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,815 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/822.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,816 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/292.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,817 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/464.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,817 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/689.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,818 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/710.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,819 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/977.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,820 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/630.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,821 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/747.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,821 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/687.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,822 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/701.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,823 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/786.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,823 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/902.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,824 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/423.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,825 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/429.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,826 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/357.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,826 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,827 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/304.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,828 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/318.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,829 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/164.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,830 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/375.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,830 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/42.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,831 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/154.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,832 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/943.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,832 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/209.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,833 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/30.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,834 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/325.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,835 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/461.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,835 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/671.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,836 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/416.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,837 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/151.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,837 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/728.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,838 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/49.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/972.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/66.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,840 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/241.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,841 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/73.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,842 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,842 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,843 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,844 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,844 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 03:06:14,845 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/125.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,846 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/289.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,847 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/254.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,848 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/734.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,848 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/18.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,849 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/871.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,850 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/220.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,851 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/92.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,852 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/590.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,852 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/684.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,853 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/355.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,854 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/144.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,855 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/694.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,856 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/736.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,856 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/491.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,857 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/703.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,858 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/796.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,859 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/83.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,860 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/232.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,861 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/61.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,861 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/382.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,862 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/257.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,863 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/303.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,863 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/225.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,864 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/677.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,865 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/406.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,866 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/302.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,867 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/268.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,868 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/476.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,868 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/829.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,869 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/647.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,870 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/466.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,871 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/945.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,871 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/376.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,872 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/342.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,873 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/714.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,874 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/603.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,875 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/462.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/238.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/675.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/457.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/54.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/829.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/951.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/312.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,881 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/876.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/940.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/158.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/129.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/628.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/183.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,886 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/482.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/768.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,888 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/678.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,889 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/969.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,889 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/149.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/184.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/970.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/679.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,892 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/96.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/649.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/994.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/284.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/53.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/848.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/611.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/197.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/997.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/586.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/204.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/819.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/674.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/873.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/383.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/691.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/517.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,905 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/107.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/742.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/992.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/158.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/189.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/842.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,909 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/160.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/888.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/638.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/476.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/115.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/566.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/402.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/808.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,915 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/618.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/389.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/989.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,918 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/558.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,918 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/284.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/67.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/404.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/153.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,922 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/trace.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 03:06:14,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/635.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/534.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/66.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/921.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/205.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/606.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,929 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/791.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/619.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/582.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/404.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/573.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,933 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/945.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/893.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/458.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/322.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/432.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/697.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/327.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/712.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/717.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/134.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/935.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/13.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/426.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/492.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,944 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/471.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/460.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,946 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/679.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/775.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/606.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,948 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/813.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,949 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/878.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/193.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/202.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/906.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/821.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,953 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/785.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/848.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/170.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/369.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/988.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/313.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/940.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/929.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/868.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,960 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/545.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/336.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,962 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/182.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/650.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/55.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,964 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/149.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/188.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/262.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/660.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/489.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/976.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/490.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/502.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,971 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/831.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/175.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/928.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,973 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/618.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/863.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/687.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/91.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/804.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,977 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/470.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/776.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/520.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/318.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/730.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/410.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,982 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/162.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/343.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/651.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,984 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/820.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/845.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/375.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/633.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,987 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/951.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/411.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,989 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,990 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/misc.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,992 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 03:06:14,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/119.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/584.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/942.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/239.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/950.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,997 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/179.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/923.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/211.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:14,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/39.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,000 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/372.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/799.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/669.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/103.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,003 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/366.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/8.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/646.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/511.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,006 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/753.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,007 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/582.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/827.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/789.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/709.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,010 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/301.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,011 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/806.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/6.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/223.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,013 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/554.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/792.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,015 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/692.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/977.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/Makefile.test -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/262.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,018 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/115.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/478.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/141.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/693.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/223.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/577.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/147.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/238.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/706.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,026 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/3.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/441.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/336.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,028 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/907.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/78.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/704.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/373.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/512.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/268.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/111.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/297.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/631.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/567.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/962.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,036 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/519.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/413.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/907.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/932.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/130.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/31.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/277.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/73.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/244.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/563.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/746.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/173.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/996.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/762.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/226.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/874.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/40.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/893.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/986.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/464.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/562.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/935.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/521.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/377.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/497.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,056 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/828.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/164.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/624.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/227.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/195.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/742.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/919.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/810.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/458.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/918.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,064 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/740.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/834.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,066 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/68.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/441.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/794.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/165.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/294.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/565.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/605.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/769.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/975.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/355.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,074 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/835.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/332.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/939.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/477.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/716.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/206.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/765.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/904.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/436.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/556.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/409.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/570.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/811.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/550.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/603.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/596.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/310.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/322.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/760.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/450.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/210.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/206.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/619.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/997.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/564.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/280.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,094 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/930.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/36.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/965.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/450.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/970.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/948.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/719.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/533.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/439.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/141.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/386.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/214.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/870.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/764.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/649.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/113.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/508.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/483.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/468.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/652.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/297.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/28.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/820.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/59.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/474.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,115 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/834.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/871.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/137.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/84.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/730.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/135.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/819.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/656.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/495.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/832.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/7.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/452.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/263.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/807.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/688.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/799.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/168.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/381.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/976.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/188.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/182.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/808.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/154.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/428.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/260.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/324.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/440.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/101.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/231.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/866.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/713.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/497.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/610.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/605.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/656.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/696.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/885.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/156.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/405.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/883.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,147 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:15,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:15,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:15,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/xics.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:15,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/xics.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:15,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 03:06:15,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/739.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/867.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/394.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/581.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/608.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/317.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/595.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/620.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/239.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/420.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/269.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/104.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/42.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/385.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/462.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/427.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/104.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/124.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/555.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/548.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/655.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/329.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/975.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/967.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/348.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/120.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/861.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/938.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/778.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/12.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/35.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/542.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/130.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/214.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/660.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/852.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/128.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/625.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/689.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/776.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/735.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/208.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/530.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/207.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/122.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/509.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/657.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/557.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/367.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/739.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/248.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/218.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/120.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/925.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/547.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/766.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/982.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/571.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/480.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/70.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/575.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/487.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/613.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/124.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,201 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/924.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/897.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/911.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,203 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/45.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/399.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,205 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/76.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/415.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/941.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,207 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/659.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/395.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/365.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/110.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/379.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/244.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/743.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,213 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/371.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/388.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/484.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/338.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,216 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/132.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/455.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/253.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,218 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:15,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:15,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:15,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:15,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 03:06:15,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/334.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/230.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,223 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/270.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/159.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/432.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/944.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/778.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/992.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,228 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/229.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/532.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/971.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/516.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/749.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/536.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/490.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/756.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/578.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/902.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/312.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/686.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/429.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/965.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,239 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/587.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/501.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/233.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/389.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/905.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/422.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/67.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/276.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/980.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,246 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/836.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,247 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/911.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/203.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/445.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/384.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/100.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/288.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/300.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/507.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,253 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/422.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/504.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/518.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/723.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/708.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/133.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/60.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/51.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,259 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/668.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/821.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/561.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/623.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,262 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/185.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/320.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/199.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/448.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/823.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/194.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,267 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/444.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/245.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/511.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/356.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/105.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,271 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/105.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/212.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/578.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/12.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/193.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,275 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:15,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:15,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:15,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:15,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 03:06:15,278 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/428.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/571.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/839.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/751.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/630.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,282 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/20.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/526.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/960.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,284 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/305.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/784.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/127.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/65.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/171.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/356.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/187.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,289 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/900.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,290 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/166.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/530.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/889.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/711.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/995.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/125.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/995.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/991.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/407.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/983.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/658.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/860.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/996.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/488.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/851.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/576.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/494.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/424.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,303 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/528.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/654.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/282.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/709.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/505.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,307 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/228.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/510.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/750.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/11.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/63.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/157.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/604.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/354.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/501.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/906.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,315 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/803.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,316 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/449.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/47.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/240.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/990.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/307.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/674.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/888.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/272.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/732.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/14.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/538.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/483.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/17.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/111.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/351.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,329 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/561.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/525.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/597.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,331 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/54.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/774.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,333 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/110.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/755.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/88.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/707.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/802.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/266.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,338 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/69.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/715.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/378.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,340 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/634.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,341 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/738.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/818.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/740.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/964.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,345 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/97.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/761.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,347 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/251.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/838.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/436.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/565.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/920.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/621.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/425.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/150.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/801.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/853.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/175.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/216.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,357 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/401.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/592.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/177.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/68.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/287.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/560.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/274.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/979.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/217.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/272.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/817.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/283.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/323.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/287.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/161.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,369 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/82.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/97.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/341.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/76.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/121.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,373 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/298.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,374 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/869.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,375 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/172.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/23.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/119.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/492.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/570.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/521.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/723.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,381 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/24.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/333.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,383 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/433.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/77.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/642.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/539.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/929.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/196.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/850.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/722.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/309.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/17.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/826.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/221.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/331.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/71.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/195.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,395 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/947.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,395 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/388.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/353.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/662.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/713.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/990.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,399 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/482.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/661.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,401 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/620.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,401 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/326.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/371.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,403 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/708.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,404 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/722.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,404 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/437.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/99.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,406 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/39.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/843.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/718.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/628.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,409 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/203.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,410 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/83.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/197.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,412 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/415.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/817.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,414 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/438.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/910.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/596.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,416 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/431.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/243.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/374.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/920.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,420 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/842.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/908.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/589.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/692.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,424 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/413.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/90.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/757.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/53.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/25.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/727.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,428 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/891.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/974.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,430 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/913.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/189.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/37.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/475.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/583.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/80.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/662.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/650.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/314.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/470.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/693.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/467.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/349.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/711.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/116.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,442 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/99.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/362.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/348.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/930.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/551.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/655.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/52.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/914.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/540.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/984.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/953.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/702.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,452 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/296.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/666.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,454 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/379.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/139.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,456 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/74.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/892.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/28.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/523.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/877.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/391.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/162.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,462 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/641.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/167.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/92.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/93.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/417.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/805.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/255.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/2.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/779.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/332.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/557.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/668.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,472 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/210.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,473 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/137.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,474 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/361.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/701.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/966.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,476 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/759.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,477 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/671.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,477 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/932.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/961.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,479 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/886.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/335.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/29.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,481 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/859.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,482 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/280.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/667.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/435.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,484 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/685.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,485 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/226.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,486 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/108.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,487 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/328.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/881.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/735.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/358.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/748.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/514.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/40.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/824.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/866.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,494 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/75.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,494 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/250.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/310.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,496 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/135.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,497 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/121.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,498 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/445.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,498 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/721.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,499 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/546.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,500 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/403.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,501 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/395.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,501 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/554.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,502 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/396.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,503 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/479.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,504 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/590.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,505 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/61.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,505 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/488.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,506 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/174.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/285.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,508 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/108.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/393.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/453.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/224.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/833.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/18.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/682.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/934.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/350.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/615.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/334.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/7.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/419.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/536.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/109.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,518 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/247.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,519 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/543.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/163.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/468.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/640.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,522 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/923.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/443.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/386.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,524 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/777.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,525 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/850.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,526 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/621.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,526 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/85.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,527 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/27.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,528 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/248.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,529 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/96.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,529 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/761.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,530 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/928.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,531 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/643.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/999.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/513.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,534 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/473.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,534 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/952.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,536 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/139.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/524.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/870.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,538 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/46.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/374.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/459.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/385.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/989.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,543 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/343.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/448.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/822.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/791.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,546 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/33.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/9.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,548 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/814.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,549 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/232.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,550 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1000.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,551 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/286.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,551 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/391.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,552 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/136.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,553 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/222.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,554 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/27.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,555 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/319.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,555 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/221.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,556 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/58.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,557 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/767.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,558 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/665.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,559 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/784.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,560 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/41.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,560 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/36.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,561 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/595.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,562 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/706.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,563 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/553.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,563 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/553.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,564 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/512.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,565 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/142.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,566 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/357.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,566 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/24.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,567 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/737.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,568 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/192.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,568 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/846.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,569 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/518.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,570 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/962.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,571 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/269.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,572 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/489.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,573 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/85.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,573 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/192.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,574 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/57.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,575 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/523.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,576 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/681.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,577 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/780.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,577 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/363.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,578 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/811.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,579 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/615.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,580 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/43.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,581 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/754.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,582 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/926.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,582 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/19.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,583 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/181.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,584 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/913.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,585 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/704.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,586 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/513.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,587 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/569.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,587 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/100.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,588 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/365.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,589 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/359.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,590 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/643.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,590 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/320.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,591 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/653.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,592 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/181.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,593 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/872.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,594 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/63.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,594 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/368.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,595 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/917.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,596 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/807.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,597 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/960.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,598 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/856.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,599 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/663.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,599 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/337.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,600 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/406.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,601 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/420.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,602 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/145.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,603 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/905.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,603 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/170.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,604 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:15,605 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:15,606 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:15,606 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:15,607 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 03:06:15,608 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/5.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,608 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/152.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,609 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/398.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,610 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/140.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,611 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/390.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,612 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/23.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,613 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/172.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,613 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/953.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,614 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/950.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,615 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/795.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,616 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/477.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,617 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/587.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,617 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/686.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,618 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/466.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,619 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/611.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,620 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/475.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,621 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/219.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,621 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/435.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,622 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/249.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,623 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/49.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,624 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/852.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,625 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/636.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,626 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/178.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,626 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/833.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,627 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/81.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,628 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/156.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,629 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/267.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,630 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:15,630 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:15,631 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:15,631 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/sc.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:15,632 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 03:06:15,633 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/309.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,633 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/micropython.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,635 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/89.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,635 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/983.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,636 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/801.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,637 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/604.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,638 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/946.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,639 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/948.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,640 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/456.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,640 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/392.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,641 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/493.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,642 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/887.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,643 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/814.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,644 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/552.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,644 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/265.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,645 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/159.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,646 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/51.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,647 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/826.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,648 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/442.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,648 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/258.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,649 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/360.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,650 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/872.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,651 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/485.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,652 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/114.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,652 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/949.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,653 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/894.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,654 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/534.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,655 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/243.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,656 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/94.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,656 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/242.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,657 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/931.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,658 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/755.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,659 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/430.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,660 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/143.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,660 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/892.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,661 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/145.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,662 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/933.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,663 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/412.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,663 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/338.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,664 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/453.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,665 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/837.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,666 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/918.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,667 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/572.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,667 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/877.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,668 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,669 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/610.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,670 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/21.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,670 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/560.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,671 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/702.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,672 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/535.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,673 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/987.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,674 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/16.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,674 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/500.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,675 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/594.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,676 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/257.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,677 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/335.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,678 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/254.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,679 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/300.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,679 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/123.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,680 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/529.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,681 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/641.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,682 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/712.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,682 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/444.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,683 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/908.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,684 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/32.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,685 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/880.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,685 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/998.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,686 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/103.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,687 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/743.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,688 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/245.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,689 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/176.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,690 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/472.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,691 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/855.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,691 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/773.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,692 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/485.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,693 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/14.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,694 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/290.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,695 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/527.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,696 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/278.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,697 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/903.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,698 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/393.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,699 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/279.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,699 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/636.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,700 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/234.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,701 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/969.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,702 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/600.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,702 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,703 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/676.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,704 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/664.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,704 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,705 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/446.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,706 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/898.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,706 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/796.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,707 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/151.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,708 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/635.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,709 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/64.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,709 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/351.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,710 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/271.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,711 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/859.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,712 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/919.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,713 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/319.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,713 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/198.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,714 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/200.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,715 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/539.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,715 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/830.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,716 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/331.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,717 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/352.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,718 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/954.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,719 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/841.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,719 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/169.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,720 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,721 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/442.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,722 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/748.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,722 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/994.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,723 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/330.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,724 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/2.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,724 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/762.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,725 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/737.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,726 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/896.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,727 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/142.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,728 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/936.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,729 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/47.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,730 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/473.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,730 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/69.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,731 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/408.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,732 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/998.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,732 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/585.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,733 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/788.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,734 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/186.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,735 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/298.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,736 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/812.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,737 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/825.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,737 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/180.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,738 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/637.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,739 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/434.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,740 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/836.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,740 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/259.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,741 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/447.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,742 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/519.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,742 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/79.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,743 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/903.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,744 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/224.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,744 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/433.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,745 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/695.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,746 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/34.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,747 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/999.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,748 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/291.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,748 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/345.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,749 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/56.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,750 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/531.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,751 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/541.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,752 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/608.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,753 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/594.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,753 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/880.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,754 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/629.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,755 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/380.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,756 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/567.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,757 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/838.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,757 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/384.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,758 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,759 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/581.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,760 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/315.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,761 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/328.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,761 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/548.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,762 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/910.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,763 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/249.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,764 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/802.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,764 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/691.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,765 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/617.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,766 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/499.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,767 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/805.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,767 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/414.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,768 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/768.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,769 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/168.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,770 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/499.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,771 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/308.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,771 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/138.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,772 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/217.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,773 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/666.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,773 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/431.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,774 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/731.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,775 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/968.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,776 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/163.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,776 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/632.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,777 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/625.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,778 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/568.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,779 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/569.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,780 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/889.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,781 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/426.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,782 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/592.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,782 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,783 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/957.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,784 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/467.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,784 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/38.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,785 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/961.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,786 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/211.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,787 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/690.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,788 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/726.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,788 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/383.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,789 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/64.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,790 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/202.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,791 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/55.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,791 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/252.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,792 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/311.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,793 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/29.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,794 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/176.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,794 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:15,795 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:15,796 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:15,796 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/modes.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:15,797 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 03:06:15,798 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/296.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,798 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/815.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,799 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/65.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,800 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/369.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,801 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/841.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,802 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/271.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,802 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/382.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,803 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/484.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,804 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/222.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,804 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/313.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,805 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/161.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,806 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/956.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,807 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/544.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,807 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/912.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,808 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/157.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,809 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/299.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,810 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/626.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,810 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/72.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,811 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/790.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,812 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/321.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,812 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/599.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,813 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/876.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,814 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/494.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,815 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/551.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,815 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/349.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,816 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/665.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,817 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/863.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,818 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/37.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,819 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/815.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,819 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/80.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,820 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/793.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,821 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/465.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,822 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/622.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,822 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/583.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,823 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/875.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,824 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/273.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,825 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/316.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,826 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/901.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,826 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/459.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,827 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/33.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,828 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/915.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,829 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/549.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,830 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/323.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,830 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/783.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,831 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/696.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,832 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/56.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,833 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/895.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,833 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/744.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,834 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/672.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,835 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/454.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,836 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/535.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,836 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/478.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,837 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/236.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,838 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/363.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/846.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/545.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,840 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/958.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,841 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/136.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,841 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/541.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,842 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/140.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,843 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/597.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,844 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/862.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,844 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/235.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,845 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/858.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,846 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/324.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,847 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/303.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,847 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/15.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,848 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/219.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,849 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/41.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,850 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/237.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,850 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/640.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,851 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/607.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,852 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/216.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,853 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/437.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,854 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/705.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,854 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/190.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,855 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/34.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,856 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/304.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,857 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/114.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,858 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/421.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,858 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/443.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,859 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/562.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,860 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/955.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,861 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/236.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,862 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/901.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,862 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/510.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,863 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/401.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,864 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/409.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,864 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/772.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,865 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/447.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,866 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/408.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,867 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/632.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,867 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/984.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,868 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/274.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,869 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/360.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,869 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/857.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,870 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/847.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,871 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/204.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,872 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/787.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,873 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/390.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,874 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/759.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,875 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/729.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/898.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/767.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/933.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/353.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/326.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/72.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,881 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/724.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,881 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/509.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/10.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/982.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/916.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/638.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/394.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,886 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/260.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/878.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/828.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,888 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/495.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,889 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/601.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/74.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/797.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/342.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,892 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/102.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/576.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/283.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/261.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/460.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/699.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/400.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/987.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/957.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/191.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/352.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/580.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/515.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/589.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/327.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/864.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,905 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/266.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/306.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/921.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/288.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/868.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,909 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/407.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/879.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/354.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/816.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/148.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/5.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/380.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/102.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,915 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/540.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/106.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/251.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/381.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,918 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/922.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,919 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:15,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:15,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:15,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:15,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 03:06:15,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/265.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/579.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/118.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/897.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/198.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/734.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/766.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/648.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/831.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,929 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/572.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/934.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/685.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/235.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/673.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,933 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/301.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/456.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/493.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/246.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/985.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/770.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/368.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/827.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,940 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:15,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:15,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:15,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:15,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 03:06:15,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/887.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/25.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,944 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/449.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/486.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,946 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/400.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/752.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/215.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,948 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/167.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,949 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/419.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/715.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/947.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/785.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/180.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,953 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/122.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/816.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/558.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/184.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/112.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/579.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/670.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/710.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/418.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,960 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/864.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/568.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/757.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,962 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/981.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/8.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,964 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/71.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/113.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/544.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/853.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/147.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/670.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/566.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/718.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/57.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,971 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/832.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/237.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,973 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/573.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,973 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/865.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/627.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/358.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/798.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,977 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/32.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/50.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/861.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/786.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/169.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/598.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,982 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/749.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/48.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/851.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,984 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/771.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/88.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/48.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/264.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,987 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/507.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/15.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,989 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/402.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,990 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/750.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/166.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/874.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,992 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/261.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/60.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/937.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/884.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/736.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,997 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/612.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,997 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/307.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/474.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/726.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:15,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/295.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,000 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/657.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/215.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/230.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/639.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,003 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/884.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/231.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/555.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/695.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,006 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/455.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,007 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/646.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,007 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/86.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/916.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/286.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,010 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/275.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,010 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/746.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,011 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/909.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/496.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,013 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/854.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,013 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/112.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/772.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,015 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/542.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/282.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/186.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/633.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,018 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/9.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/537.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/659.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/138.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/522.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/255.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/865.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/781.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/364.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/552.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,026 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/904.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/697.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,028 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/584.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/958.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/434.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/95.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/43.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/938.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/943.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/764.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/344.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/337.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,036 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/330.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/824.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/922.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/258.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/809.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/840.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/87.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/580.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/160.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/837.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/787.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/800.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/13.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/59.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/556.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/78.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/537.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/564.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/684.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/277.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/798.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/62.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/364.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/340.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/720.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/813.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/532.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,056 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:16,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:16,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:16,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:16,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 03:06:16,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/825.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/421.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/439.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/44.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/412.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/256.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,064 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/127.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/973.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,066 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/781.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/155.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/979.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/220.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/293.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1000.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/546.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/745.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/705.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/281.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/651.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,074 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/405.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/812.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/613.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/891.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/62.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/306.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/118.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/502.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/373.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/645.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/782.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/79.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/939.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/993.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/398.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/126.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/281.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/70.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/741.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/500.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/299.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/505.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/454.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/683.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/993.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,094 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/524.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/585.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/527.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/720.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/758.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/253.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/661.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/279.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/173.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/614.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/199.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/700.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/267.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/463.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/128.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/804.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/986.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/503.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/6.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/451.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/678.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/703.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/44.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/774.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/789.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/700.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/514.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/317.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,115 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/98.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/240.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/481.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/937.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/315.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/733.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/882.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/82.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/289.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/273.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/797.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/981.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/909.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/430.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/588.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/329.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/305.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/843.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/209.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/165.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/418.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/259.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/31.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/756.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/361.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/667.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/333.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/963.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/803.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/256.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/894.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/793.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/559.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/246.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/457.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/234.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/341.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/760.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/963.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/452.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/496.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/275.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/350.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/201.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/316.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/753.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/849.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/191.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/895.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/117.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/631.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/717.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/626.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/818.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/574.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/30.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/602.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/758.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/4.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/839.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/89.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/94.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/228.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/988.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/367.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/559.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/591.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/616.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/403.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/366.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/547.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/924.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/754.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/387.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/201.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/516.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/623.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/233.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/669.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/944.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/682.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/672.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/794.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/577.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/98.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/634.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/883.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/174.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/967.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/694.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/728.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/612.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/107.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/247.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/50.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/131.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/771.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/508.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/38.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/775.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/949.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/339.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/873.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/609.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/609.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/941.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/854.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/411.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/295.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/227.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,201 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/844.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/207.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,203 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/491.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/465.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/769.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,205 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/178.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/593.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,207 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/724.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/146.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/242.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/698.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/469.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/644.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/690.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,213 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/845.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/480.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/783.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,216 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/21.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/292.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/936.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,218 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/451.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/294.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/980.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/896.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/538.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/675.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,223 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/731.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/153.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/744.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/974.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/645.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/148.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,228 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/914.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/782.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/741.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/218.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/925.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/698.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/823.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/622.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/3.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/765.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/345.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/522.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/915.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,239 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/26.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/347.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/763.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/424.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/653.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/45.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/543.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/531.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/664.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,246 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/520.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,247 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/10.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/321.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/498.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/347.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/654.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/144.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/515.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/503.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/229.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,253 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/639.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/800.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/593.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/241.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/849.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/681.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/4.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,259 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/129.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/143.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/586.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,262 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/954.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,262 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/425.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/131.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/414.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/792.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/857.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/126.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,267 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/93.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/302.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/208.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/155.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/498.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,271 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/867.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/346.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/378.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/339.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/377.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/830.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/719.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,278 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/205.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/840.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/95.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/751.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/528.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,282 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/927.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/663.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/479.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,284 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/101.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/417.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/747.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/882.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/809.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/732.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/397.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,289 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/22.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,290 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/680.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/738.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/392.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/588.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/517.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/971.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/525.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/146.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,296 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:16,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:16,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:16,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:16,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 03:06:16,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/22.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/721.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/624.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/440.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/19.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,303 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/469.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/652.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/978.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/770.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 03:06:16,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,307 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/git.vhdl.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dram_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_debug.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,311 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/flash-arty -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/arty.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,329 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 03:06:16,340 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,341 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 03:06:16,341 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 03:06:16,342 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 03:06:16,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 03:06:16,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,345 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,347 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 03:06:16,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/execute1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/plrufn.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,352 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/media 2024-01-19 03:06:16,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/media/microwatt-title.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/media 2024-01-19 03:06:16,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/logical.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,355 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,357 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 03:06:16,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/crhelpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/nonrandom.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,366 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:16,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:16,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:16,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 03:06:16,368 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 03:06:16,369 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated 2024-01-19 03:06:16,370 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 03:06:16,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 03:06:16,371 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 03:06:16,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 03:06:16,373 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 03:06:16,373 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 03:06:16,374 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 03:06:16,375 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:16,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:16,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:16,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:16,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 03:06:16,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 03:06:16,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/loadstore1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,381 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 03:06:16,381 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/lib/console.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 03:06:16,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,383 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/foreign_random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/syscon.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,390 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 03:06:16,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 03:06:16,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 03:06:16,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/common.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,395 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 03:06:16,395 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,399 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 03:06:16,401 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated 2024-01-19 03:06:16,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:16,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:16,403 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:16,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 03:06:16,405 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:16,406 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:16,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:16,409 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 03:06:16,410 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:16,410 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:16,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:16,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 03:06:16,414 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:16,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:16,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:16,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 03:06:16,418 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:16,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:16,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:16,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 03:06:16,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:16,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:16,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:16,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 03:06:16,426 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:16,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:16,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:16,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 03:06:16,430 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,433 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:16,434 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc 2024-01-19 03:06:16,434 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,442 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 03:06:16,452 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,454 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,456 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 03:06:16,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:16,460 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:16,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:16,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:16,462 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 03:06:16,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:16,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:16,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:16,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 03:06:16,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 03:06:16,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/litedram.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 03:06:16,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/divider_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_console.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,472 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/microwatt.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,473 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,473 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/mmu.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,474 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/divider.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,476 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,477 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_console_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,477 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,479 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/gpio.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/pmu.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,481 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,481 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,482 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/vhdltags -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/run_test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/make_version.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,484 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,485 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 03:06:16,485 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 03:06:16,486 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 03:06:16,487 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:16,487 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:16,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:16,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 03:06:16,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/dependencies.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 03:06:16,494 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/.github 2024-01-19 03:06:16,494 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 03:06:16,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 03:06:16,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 03:06:16,496 root INFO copying build/lib/pythondata_cpu_microwatt/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt 2024-01-19 03:06:16,497 root INFO running install_egg_info 2024-01-19 03:06:16,514 root INFO Copying pythondata_cpu_microwatt.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt-0.0.post1409-py3.11.egg-info 2024-01-19 03:06:16,518 root INFO running install_scripts 2024-01-19 03:06:16,526 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt-0.0.post1409.dist-info/WHEEL 2024-01-19 03:06:16,529 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-53s01vab/pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:16,532 wheel INFO adding 'pythondata_cpu_microwatt/__init__.py' 2024-01-19 03:06:16,536 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/.gitignore' 2024-01-19 03:06:16,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/LICENSE' 2024-01-19 03:06:16,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/Makefile' 2024-01-19 03:06:16,539 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/README.md' 2024-01-19 03:06:16,540 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/cache_ram.vhdl' 2024-01-19 03:06:16,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/common.vhdl' 2024-01-19 03:06:16,543 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/control.vhdl' 2024-01-19 03:06:16,544 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core.vhdl' 2024-01-19 03:06:16,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_debug.vhdl' 2024-01-19 03:06:16,547 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl' 2024-01-19 03:06:16,548 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl' 2024-01-19 03:06:16,548 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_tb.vhdl' 2024-01-19 03:06:16,549 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/countbits.vhdl' 2024-01-19 03:06:16,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl' 2024-01-19 03:06:16,551 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/cr_file.vhdl' 2024-01-19 03:06:16,552 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/crhelpers.vhdl' 2024-01-19 03:06:16,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dcache.vhdl' 2024-01-19 03:06:16,555 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl' 2024-01-19 03:06:16,556 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode1.vhdl' 2024-01-19 03:06:16,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode2.vhdl' 2024-01-19 03:06:16,560 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode_types.vhdl' 2024-01-19 03:06:16,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/divider.vhdl' 2024-01-19 03:06:16,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/divider_tb.vhdl' 2024-01-19 03:06:16,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl' 2024-01-19 03:06:16,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl' 2024-01-19 03:06:16,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl' 2024-01-19 03:06:16,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl' 2024-01-19 03:06:16,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dram_tb.vhdl' 2024-01-19 03:06:16,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/execute1.vhdl' 2024-01-19 03:06:16,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fetch1.vhdl' 2024-01-19 03:06:16,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/foreign_random.vhdl' 2024-01-19 03:06:16,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpu.vhdl' 2024-01-19 03:06:16,576 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/git.vhdl.in' 2024-01-19 03:06:16,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/glibc_random.vhdl' 2024-01-19 03:06:16,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl' 2024-01-19 03:06:16,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/gpio.vhdl' 2024-01-19 03:06:16,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/helpers.vhdl' 2024-01-19 03:06:16,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache.vhdl' 2024-01-19 03:06:16,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache_tb.vhdl' 2024-01-19 03:06:16,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache_test.bin' 2024-01-19 03:06:16,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl' 2024-01-19 03:06:16,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/loadstore1.vhdl' 2024-01-19 03:06:16,587 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/logical.vhdl' 2024-01-19 03:06:16,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/microwatt.core' 2024-01-19 03:06:16,589 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/mmu.vhdl' 2024-01-19 03:06:16,590 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl' 2024-01-19 03:06:16,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply.vhdl' 2024-01-19 03:06:16,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl' 2024-01-19 03:06:16,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/nonrandom.vhdl' 2024-01-19 03:06:16,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/plru_tb.vhdl' 2024-01-19 03:06:16,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/plrufn.vhdl' 2024-01-19 03:06:16,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/pmu.vhdl' 2024-01-19 03:06:16,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl' 2024-01-19 03:06:16,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/predecode.vhdl' 2024-01-19 03:06:16,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/random.vhdl' 2024-01-19 03:06:16,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/register_file.vhdl' 2024-01-19 03:06:16,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rotator.vhdl' 2024-01-19 03:06:16,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl' 2024-01-19 03:06:16,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/run.py' 2024-01-19 03:06:16,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl' 2024-01-19 03:06:16,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram.vhdl' 2024-01-19 03:06:16,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl' 2024-01-19 03:06:16,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c' 2024-01-19 03:06:16,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_console.vhdl' 2024-01-19 03:06:16,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_console_c.c' 2024-01-19 03:06:16,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl' 2024-01-19 03:06:16,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl' 2024-01-19 03:06:16,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c' 2024-01-19 03:06:16,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl' 2024-01-19 03:06:16,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl' 2024-01-19 03:06:16,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c' 2024-01-19 03:06:16,616 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h' 2024-01-19 03:06:16,617 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/soc.vhdl' 2024-01-19 03:06:16,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl' 2024-01-19 03:06:16,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl' 2024-01-19 03:06:16,621 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl' 2024-01-19 03:06:16,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/syscon.vhdl' 2024-01-19 03:06:16,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/utils.vhdl' 2024-01-19 03:06:16,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl' 2024-01-19 03:06:16,624 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin' 2024-01-19 03:06:16,625 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl' 2024-01-19 03:06:16,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl' 2024-01-19 03:06:16,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl' 2024-01-19 03:06:16,628 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl' 2024-01-19 03:06:16,629 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/writeback.vhdl' 2024-01-19 03:06:16,630 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xics.vhdl' 2024-01-19 03:06:16,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl' 2024-01-19 03:06:16,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl' 2024-01-19 03:06:16,634 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml' 2024-01-19 03:06:16,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf' 2024-01-19 03:06:16,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf' 2024-01-19 03:06:16,637 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf' 2024-01-19 03:06:16,638 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/LICENSE' 2024-01-19 03:06:16,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc' 2024-01-19 03:06:16,640 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc' 2024-01-19 03:06:16,641 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc' 2024-01-19 03:06:16,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd' 2024-01-19 03:06:16,643 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd' 2024-01-19 03:06:16,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd' 2024-01-19 03:06:16,645 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd' 2024-01-19 03:06:16,646 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc' 2024-01-19 03:06:16,649 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/firmware.hex' 2024-01-19 03:06:16,662 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl' 2024-01-19 03:06:16,663 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc' 2024-01-19 03:06:16,664 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc' 2024-01-19 03:06:16,665 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex' 2024-01-19 03:06:16,666 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl' 2024-01-19 03:06:16,667 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc' 2024-01-19 03:06:16,668 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc' 2024-01-19 03:06:16,669 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd' 2024-01-19 03:06:16,670 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd' 2024-01-19 03:06:16,671 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd' 2024-01-19 03:06:16,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl' 2024-01-19 03:06:16,673 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl' 2024-01-19 03:06:16,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl' 2024-01-19 03:06:16,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl' 2024-01-19 03:06:16,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl' 2024-01-19 03:06:16,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl' 2024-01-19 03:06:16,678 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl' 2024-01-19 03:06:16,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl' 2024-01-19 03:06:16,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl' 2024-01-19 03:06:16,682 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl' 2024-01-19 03:06:16,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc' 2024-01-19 03:06:16,684 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/Makefile' 2024-01-19 03:06:16,685 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/head.S' 2024-01-19 03:06:16,686 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin' 2024-01-19 03:06:16,687 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c' 2024-01-19 03:06:16,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf' 2024-01-19 03:06:16,691 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex' 2024-01-19 03:06:16,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds' 2024-01-19 03:06:16,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/console.h' 2024-01-19 03:06:16,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/io.h' 2024-01-19 03:06:16,694 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h' 2024-01-19 03:06:16,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/lib/console.c' 2024-01-19 03:06:16,697 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/litedram.core' 2024-01-19 03:06:16,697 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py' 2024-01-19 03:06:16,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl' 2024-01-19 03:06:16,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk' 2024-01-19 03:06:16,701 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl' 2024-01-19 03:06:16,702 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp' 2024-01-19 03:06:16,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw' 2024-01-19 03:06:16,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt' 2024-01-19 03:06:16,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw' 2024-01-19 03:06:16,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml' 2024-01-19 03:06:16,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml' 2024-01-19 03:06:16,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl' 2024-01-19 03:06:16,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py' 2024-01-19 03:06:16,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml' 2024-01-19 03:06:16,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml' 2024-01-19 03:06:16,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl' 2024-01-19 03:06:16,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml' 2024-01-19 03:06:16,714 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml' 2024-01-19 03:06:16,715 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml' 2024-01-19 03:06:16,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile' 2024-01-19 03:06:16,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py' 2024-01-19 03:06:16,718 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S' 2024-01-19 03:06:16,719 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c' 2024-01-19 03:06:16,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S' 2024-01-19 03:06:16,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h' 2024-01-19 03:06:16,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h' 2024-01-19 03:06:16,723 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h' 2024-01-19 03:06:16,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h' 2024-01-19 03:06:16,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h' 2024-01-19 03:06:16,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h' 2024-01-19 03:06:16,727 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h' 2024-01-19 03:06:16,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h' 2024-01-19 03:06:16,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h' 2024-01-19 03:06:16,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h' 2024-01-19 03:06:16,731 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h' 2024-01-19 03:06:16,732 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h' 2024-01-19 03:06:16,732 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h' 2024-01-19 03:06:16,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c' 2024-01-19 03:06:16,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c' 2024-01-19 03:06:16,735 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c' 2024-01-19 03:06:16,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c' 2024-01-19 03:06:16,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c' 2024-01-19 03:06:16,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c' 2024-01-19 03:06:16,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c' 2024-01-19 03:06:16,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c' 2024-01-19 03:06:16,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c' 2024-01-19 03:06:16,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c' 2024-01-19 03:06:16,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c' 2024-01-19 03:06:16,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c' 2024-01-19 03:06:16,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c' 2024-01-19 03:06:16,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c' 2024-01-19 03:06:16,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c' 2024-01-19 03:06:16,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c' 2024-01-19 03:06:16,748 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c' 2024-01-19 03:06:16,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c' 2024-01-19 03:06:16,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c' 2024-01-19 03:06:16,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c' 2024-01-19 03:06:16,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c' 2024-01-19 03:06:16,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c' 2024-01-19 03:06:16,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c' 2024-01-19 03:06:16,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c' 2024-01-19 03:06:16,755 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c' 2024-01-19 03:06:16,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c' 2024-01-19 03:06:16,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl' 2024-01-19 03:06:16,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init' 2024-01-19 03:06:16,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v' 2024-01-19 03:06:16,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl' 2024-01-19 03:06:16,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init' 2024-01-19 03:06:16,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v' 2024-01-19 03:06:16,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl' 2024-01-19 03:06:16,788 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init' 2024-01-19 03:06:16,791 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v' 2024-01-19 03:06:16,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl' 2024-01-19 03:06:16,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init' 2024-01-19 03:06:16,808 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v' 2024-01-19 03:06:16,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl' 2024-01-19 03:06:16,820 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init' 2024-01-19 03:06:16,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v' 2024-01-19 03:06:16,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl' 2024-01-19 03:06:16,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init' 2024-01-19 03:06:16,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v' 2024-01-19 03:06:16,847 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl' 2024-01-19 03:06:16,848 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init' 2024-01-19 03:06:16,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v' 2024-01-19 03:06:16,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py' 2024-01-19 03:06:16,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core' 2024-01-19 03:06:16,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml' 2024-01-19 03:06:16,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh' 2024-01-19 03:06:16,865 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml' 2024-01-19 03:06:16,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml' 2024-01-19 03:06:16,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v' 2024-01-19 03:06:16,873 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v' 2024-01-19 03:06:16,877 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v' 2024-01-19 03:06:16,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py' 2024-01-19 03:06:16,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core' 2024-01-19 03:06:16,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh' 2024-01-19 03:06:16,885 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v' 2024-01-19 03:06:16,890 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v' 2024-01-19 03:06:16,894 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/media/microwatt-title.png' 2024-01-19 03:06:16,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.bin' 2024-01-19 03:06:16,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.elf' 2024-01-19 03:06:16,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.hex' 2024-01-19 03:06:16,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg' 2024-01-19 03:06:16,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg' 2024-01-19 03:06:16,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg' 2024-01-19 03:06:16,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg' 2024-01-19 03:06:16,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg' 2024-01-19 03:06:16,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg' 2024-01-19 03:06:16,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg' 2024-01-19 03:06:16,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg' 2024-01-19 03:06:16,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg' 2024-01-19 03:06:16,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/README' 2024-01-19 03:06:16,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg' 2024-01-19 03:06:16,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/arty.cfg' 2024-01-19 03:06:16,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit' 2024-01-19 03:06:16,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit' 2024-01-19 03:06:16,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit' 2024-01-19 03:06:16,999 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit' 2024-01-19 03:06:17,016 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit' 2024-01-19 03:06:17,022 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit' 2024-01-19 03:06:17,030 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit' 2024-01-19 03:06:17,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg' 2024-01-19 03:06:17,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/flash-arty' 2024-01-19 03:06:17,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg' 2024-01-19 03:06:17,051 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg' 2024-01-19 03:06:17,052 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg' 2024-01-19 03:06:17,053 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg' 2024-01-19 03:06:17,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg' 2024-01-19 03:06:17,055 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml' 2024-01-19 03:06:17,056 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile' 2024-01-19 03:06:17,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md' 2024-01-19 03:06:17,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml' 2024-01-19 03:06:17,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c' 2024-01-19 03:06:17,059 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h' 2024-01-19 03:06:17,060 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S' 2024-01-19 03:06:17,061 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c' 2024-01-19 03:06:17,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds' 2024-01-19 03:06:17,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config' 2024-01-19 03:06:17,064 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs' 2024-01-19 03:06:17,065 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py' 2024-01-19 03:06:17,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/dependencies.py' 2024-01-19 03:06:17,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py' 2024-01-19 03:06:17,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/make_version.sh' 2024-01-19 03:06:17,068 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py' 2024-01-19 03:06:17,069 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/run_test.sh' 2024-01-19 03:06:17,070 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh' 2024-01-19 03:06:17,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py' 2024-01-19 03:06:17,072 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py' 2024-01-19 03:06:17,072 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py' 2024-01-19 03:06:17,073 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py' 2024-01-19 03:06:17,074 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/vhdltags' 2024-01-19 03:06:17,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile' 2024-01-19 03:06:17,076 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c' 2024-01-19 03:06:17,077 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile' 2024-01-19 03:06:17,078 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README' 2024-01-19 03:06:17,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c' 2024-01-19 03:06:17,080 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl' 2024-01-19 03:06:17,081 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl' 2024-01-19 03:06:17,082 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl' 2024-01-19 03:06:17,094 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1.bin' 2024-01-19 03:06:17,097 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1.out' 2024-01-19 03:06:17,099 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/10.bin' 2024-01-19 03:06:17,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/10.out' 2024-01-19 03:06:17,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/100.bin' 2024-01-19 03:06:17,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/100.out' 2024-01-19 03:06:17,107 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1000.bin' 2024-01-19 03:06:17,110 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1000.out' 2024-01-19 03:06:17,111 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/101.bin' 2024-01-19 03:06:17,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/101.out' 2024-01-19 03:06:17,115 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/102.bin' 2024-01-19 03:06:17,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/102.out' 2024-01-19 03:06:17,120 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/103.bin' 2024-01-19 03:06:17,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/103.out' 2024-01-19 03:06:17,124 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/104.bin' 2024-01-19 03:06:17,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/104.out' 2024-01-19 03:06:17,128 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/105.bin' 2024-01-19 03:06:17,131 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/105.out' 2024-01-19 03:06:17,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/106.bin' 2024-01-19 03:06:17,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/106.out' 2024-01-19 03:06:17,137 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/107.bin' 2024-01-19 03:06:17,140 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/107.out' 2024-01-19 03:06:17,141 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/108.bin' 2024-01-19 03:06:17,144 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/108.out' 2024-01-19 03:06:17,145 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/109.bin' 2024-01-19 03:06:17,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/109.out' 2024-01-19 03:06:17,149 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/11.bin' 2024-01-19 03:06:17,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/11.out' 2024-01-19 03:06:17,153 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/110.bin' 2024-01-19 03:06:17,156 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/110.out' 2024-01-19 03:06:17,158 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/111.bin' 2024-01-19 03:06:17,161 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/111.out' 2024-01-19 03:06:17,162 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/112.bin' 2024-01-19 03:06:17,165 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/112.out' 2024-01-19 03:06:17,166 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/113.bin' 2024-01-19 03:06:17,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/113.out' 2024-01-19 03:06:17,170 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/114.bin' 2024-01-19 03:06:17,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/114.out' 2024-01-19 03:06:17,175 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/115.bin' 2024-01-19 03:06:17,178 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/115.out' 2024-01-19 03:06:17,179 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/116.bin' 2024-01-19 03:06:17,182 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/116.out' 2024-01-19 03:06:17,183 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/117.bin' 2024-01-19 03:06:17,186 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/117.out' 2024-01-19 03:06:17,187 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/118.bin' 2024-01-19 03:06:17,190 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/118.out' 2024-01-19 03:06:17,191 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/119.bin' 2024-01-19 03:06:17,195 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/119.out' 2024-01-19 03:06:17,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/12.bin' 2024-01-19 03:06:17,199 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/12.out' 2024-01-19 03:06:17,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/120.bin' 2024-01-19 03:06:17,203 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/120.out' 2024-01-19 03:06:17,204 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/121.bin' 2024-01-19 03:06:17,207 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/121.out' 2024-01-19 03:06:17,209 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/122.bin' 2024-01-19 03:06:17,212 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/122.out' 2024-01-19 03:06:17,213 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/123.bin' 2024-01-19 03:06:17,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/123.out' 2024-01-19 03:06:17,217 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/124.bin' 2024-01-19 03:06:17,220 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/124.out' 2024-01-19 03:06:17,221 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/125.bin' 2024-01-19 03:06:17,224 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/125.out' 2024-01-19 03:06:17,226 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/126.bin' 2024-01-19 03:06:17,229 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/126.out' 2024-01-19 03:06:17,230 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/127.bin' 2024-01-19 03:06:17,233 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/127.out' 2024-01-19 03:06:17,234 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/128.bin' 2024-01-19 03:06:17,237 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/128.out' 2024-01-19 03:06:17,238 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/129.bin' 2024-01-19 03:06:17,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/129.out' 2024-01-19 03:06:17,243 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/13.bin' 2024-01-19 03:06:17,246 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/13.out' 2024-01-19 03:06:17,247 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/130.bin' 2024-01-19 03:06:17,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/130.out' 2024-01-19 03:06:17,251 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/131.bin' 2024-01-19 03:06:17,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/131.out' 2024-01-19 03:06:17,255 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/132.bin' 2024-01-19 03:06:17,259 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/132.out' 2024-01-19 03:06:17,260 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/133.bin' 2024-01-19 03:06:17,263 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/133.out' 2024-01-19 03:06:17,264 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/134.bin' 2024-01-19 03:06:17,267 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/134.out' 2024-01-19 03:06:17,268 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/135.bin' 2024-01-19 03:06:17,271 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/135.out' 2024-01-19 03:06:17,273 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/136.bin' 2024-01-19 03:06:17,276 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/136.out' 2024-01-19 03:06:17,277 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/137.bin' 2024-01-19 03:06:17,280 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/137.out' 2024-01-19 03:06:17,281 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/138.bin' 2024-01-19 03:06:17,284 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/138.out' 2024-01-19 03:06:17,285 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/139.bin' 2024-01-19 03:06:17,288 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/139.out' 2024-01-19 03:06:17,290 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/14.bin' 2024-01-19 03:06:17,293 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/14.out' 2024-01-19 03:06:17,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/140.bin' 2024-01-19 03:06:17,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/140.out' 2024-01-19 03:06:17,298 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/141.bin' 2024-01-19 03:06:17,301 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/141.out' 2024-01-19 03:06:17,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/142.bin' 2024-01-19 03:06:17,305 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/142.out' 2024-01-19 03:06:17,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/143.bin' 2024-01-19 03:06:17,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/143.out' 2024-01-19 03:06:17,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/144.bin' 2024-01-19 03:06:17,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/144.out' 2024-01-19 03:06:17,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/145.bin' 2024-01-19 03:06:17,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/145.out' 2024-01-19 03:06:17,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/146.bin' 2024-01-19 03:06:17,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/146.out' 2024-01-19 03:06:17,324 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/147.bin' 2024-01-19 03:06:17,327 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/147.out' 2024-01-19 03:06:17,328 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/148.bin' 2024-01-19 03:06:17,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/148.out' 2024-01-19 03:06:17,332 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/149.bin' 2024-01-19 03:06:17,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/149.out' 2024-01-19 03:06:17,336 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/15.bin' 2024-01-19 03:06:17,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/15.out' 2024-01-19 03:06:17,341 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/150.bin' 2024-01-19 03:06:17,344 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/150.out' 2024-01-19 03:06:17,345 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/151.bin' 2024-01-19 03:06:17,348 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/151.out' 2024-01-19 03:06:17,349 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/152.bin' 2024-01-19 03:06:17,352 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/152.out' 2024-01-19 03:06:17,353 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/153.bin' 2024-01-19 03:06:17,356 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/153.out' 2024-01-19 03:06:17,358 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/154.bin' 2024-01-19 03:06:17,361 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/154.out' 2024-01-19 03:06:17,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/155.bin' 2024-01-19 03:06:17,365 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/155.out' 2024-01-19 03:06:17,366 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/156.bin' 2024-01-19 03:06:17,369 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/156.out' 2024-01-19 03:06:17,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/157.bin' 2024-01-19 03:06:17,373 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/157.out' 2024-01-19 03:06:17,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/158.bin' 2024-01-19 03:06:17,378 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/158.out' 2024-01-19 03:06:17,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/159.bin' 2024-01-19 03:06:17,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/159.out' 2024-01-19 03:06:17,383 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/16.bin' 2024-01-19 03:06:17,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/16.out' 2024-01-19 03:06:17,387 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/160.bin' 2024-01-19 03:06:17,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/160.out' 2024-01-19 03:06:17,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/161.bin' 2024-01-19 03:06:17,395 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/161.out' 2024-01-19 03:06:17,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/162.bin' 2024-01-19 03:06:17,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/162.out' 2024-01-19 03:06:17,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/163.bin' 2024-01-19 03:06:17,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/163.out' 2024-01-19 03:06:17,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/164.bin' 2024-01-19 03:06:17,408 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/164.out' 2024-01-19 03:06:17,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/165.bin' 2024-01-19 03:06:17,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/165.out' 2024-01-19 03:06:17,413 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/166.bin' 2024-01-19 03:06:17,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/166.out' 2024-01-19 03:06:17,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/167.bin' 2024-01-19 03:06:17,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/167.out' 2024-01-19 03:06:17,421 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/168.bin' 2024-01-19 03:06:17,424 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/168.out' 2024-01-19 03:06:17,426 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/169.bin' 2024-01-19 03:06:17,429 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/169.out' 2024-01-19 03:06:17,430 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/17.bin' 2024-01-19 03:06:17,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/17.out' 2024-01-19 03:06:17,434 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/170.bin' 2024-01-19 03:06:17,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/170.out' 2024-01-19 03:06:17,438 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/171.bin' 2024-01-19 03:06:17,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/171.out' 2024-01-19 03:06:17,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/172.bin' 2024-01-19 03:06:17,446 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/172.out' 2024-01-19 03:06:17,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/173.bin' 2024-01-19 03:06:17,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/173.out' 2024-01-19 03:06:17,451 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/174.bin' 2024-01-19 03:06:17,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/174.out' 2024-01-19 03:06:17,455 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/175.bin' 2024-01-19 03:06:17,458 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/175.out' 2024-01-19 03:06:17,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/176.bin' 2024-01-19 03:06:17,463 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/176.out' 2024-01-19 03:06:17,464 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/177.bin' 2024-01-19 03:06:17,467 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/177.out' 2024-01-19 03:06:17,468 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/178.bin' 2024-01-19 03:06:17,471 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/178.out' 2024-01-19 03:06:17,472 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/179.bin' 2024-01-19 03:06:17,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/179.out' 2024-01-19 03:06:17,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/18.bin' 2024-01-19 03:06:17,480 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/18.out' 2024-01-19 03:06:17,481 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/180.bin' 2024-01-19 03:06:17,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/180.out' 2024-01-19 03:06:17,485 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/181.bin' 2024-01-19 03:06:17,488 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/181.out' 2024-01-19 03:06:17,489 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/182.bin' 2024-01-19 03:06:17,492 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/182.out' 2024-01-19 03:06:17,494 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/183.bin' 2024-01-19 03:06:17,497 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/183.out' 2024-01-19 03:06:17,498 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/184.bin' 2024-01-19 03:06:17,501 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/184.out' 2024-01-19 03:06:17,502 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/185.bin' 2024-01-19 03:06:17,505 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/185.out' 2024-01-19 03:06:17,507 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/186.bin' 2024-01-19 03:06:17,510 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/186.out' 2024-01-19 03:06:17,511 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/187.bin' 2024-01-19 03:06:17,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/187.out' 2024-01-19 03:06:17,515 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/188.bin' 2024-01-19 03:06:17,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/188.out' 2024-01-19 03:06:17,519 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/189.bin' 2024-01-19 03:06:17,523 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/189.out' 2024-01-19 03:06:17,524 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/19.bin' 2024-01-19 03:06:17,527 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/19.out' 2024-01-19 03:06:17,528 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/190.bin' 2024-01-19 03:06:17,531 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/190.out' 2024-01-19 03:06:17,532 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/191.bin' 2024-01-19 03:06:17,535 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/191.out' 2024-01-19 03:06:17,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/192.bin' 2024-01-19 03:06:17,540 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/192.out' 2024-01-19 03:06:17,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/193.bin' 2024-01-19 03:06:17,544 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/193.out' 2024-01-19 03:06:17,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/194.bin' 2024-01-19 03:06:17,548 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/194.out' 2024-01-19 03:06:17,549 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/195.bin' 2024-01-19 03:06:17,552 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/195.out' 2024-01-19 03:06:17,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/196.bin' 2024-01-19 03:06:17,557 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/196.out' 2024-01-19 03:06:17,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/197.bin' 2024-01-19 03:06:17,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/197.out' 2024-01-19 03:06:17,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/198.bin' 2024-01-19 03:06:17,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/198.out' 2024-01-19 03:06:17,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/199.bin' 2024-01-19 03:06:17,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/199.out' 2024-01-19 03:06:17,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/2.bin' 2024-01-19 03:06:17,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/2.out' 2024-01-19 03:06:17,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/20.bin' 2024-01-19 03:06:17,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/20.out' 2024-01-19 03:06:17,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/200.bin' 2024-01-19 03:06:17,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/200.out' 2024-01-19 03:06:17,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/201.bin' 2024-01-19 03:06:17,587 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/201.out' 2024-01-19 03:06:17,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/202.bin' 2024-01-19 03:06:17,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/202.out' 2024-01-19 03:06:17,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/203.bin' 2024-01-19 03:06:17,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/203.out' 2024-01-19 03:06:17,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/204.bin' 2024-01-19 03:06:17,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/204.out' 2024-01-19 03:06:17,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/205.bin' 2024-01-19 03:06:17,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/205.out' 2024-01-19 03:06:17,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/206.bin' 2024-01-19 03:06:17,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/206.out' 2024-01-19 03:06:17,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/207.bin' 2024-01-19 03:06:17,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/207.out' 2024-01-19 03:06:17,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/208.bin' 2024-01-19 03:06:17,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/208.out' 2024-01-19 03:06:17,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/209.bin' 2024-01-19 03:06:17,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/209.out' 2024-01-19 03:06:17,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/21.bin' 2024-01-19 03:06:17,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/21.out' 2024-01-19 03:06:17,628 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/210.bin' 2024-01-19 03:06:17,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/210.out' 2024-01-19 03:06:17,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/211.bin' 2024-01-19 03:06:17,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/211.out' 2024-01-19 03:06:17,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/212.bin' 2024-01-19 03:06:17,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/212.out' 2024-01-19 03:06:17,640 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/213.bin' 2024-01-19 03:06:17,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/213.out' 2024-01-19 03:06:17,645 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/214.bin' 2024-01-19 03:06:17,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/214.out' 2024-01-19 03:06:17,649 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/215.bin' 2024-01-19 03:06:17,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/215.out' 2024-01-19 03:06:17,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/216.bin' 2024-01-19 03:06:17,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/216.out' 2024-01-19 03:06:17,657 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/217.bin' 2024-01-19 03:06:17,660 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/217.out' 2024-01-19 03:06:17,662 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/218.bin' 2024-01-19 03:06:17,665 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/218.out' 2024-01-19 03:06:17,666 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/219.bin' 2024-01-19 03:06:17,669 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/219.out' 2024-01-19 03:06:17,670 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/22.bin' 2024-01-19 03:06:17,673 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/22.out' 2024-01-19 03:06:17,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/220.bin' 2024-01-19 03:06:17,678 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/220.out' 2024-01-19 03:06:17,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/221.bin' 2024-01-19 03:06:17,682 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/221.out' 2024-01-19 03:06:17,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/222.bin' 2024-01-19 03:06:17,686 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/222.out' 2024-01-19 03:06:17,687 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/223.bin' 2024-01-19 03:06:17,690 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/223.out' 2024-01-19 03:06:17,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/224.bin' 2024-01-19 03:06:17,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/224.out' 2024-01-19 03:06:17,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/225.bin' 2024-01-19 03:06:17,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/225.out' 2024-01-19 03:06:17,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/226.bin' 2024-01-19 03:06:17,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/226.out' 2024-01-19 03:06:17,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/227.bin' 2024-01-19 03:06:17,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/227.out' 2024-01-19 03:06:17,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/228.bin' 2024-01-19 03:06:17,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/228.out' 2024-01-19 03:06:17,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/229.bin' 2024-01-19 03:06:17,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/229.out' 2024-01-19 03:06:17,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/23.bin' 2024-01-19 03:06:17,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/23.out' 2024-01-19 03:06:17,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/230.bin' 2024-01-19 03:06:17,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/230.out' 2024-01-19 03:06:17,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/231.bin' 2024-01-19 03:06:17,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/231.out' 2024-01-19 03:06:17,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/232.bin' 2024-01-19 03:06:17,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/232.out' 2024-01-19 03:06:17,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/233.bin' 2024-01-19 03:06:17,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/233.out' 2024-01-19 03:06:17,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/234.bin' 2024-01-19 03:06:17,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/234.out' 2024-01-19 03:06:17,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/235.bin' 2024-01-19 03:06:17,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/235.out' 2024-01-19 03:06:17,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/236.bin' 2024-01-19 03:06:17,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/236.out' 2024-01-19 03:06:17,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/237.bin' 2024-01-19 03:06:17,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/237.out' 2024-01-19 03:06:17,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/238.bin' 2024-01-19 03:06:17,759 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/238.out' 2024-01-19 03:06:17,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/239.bin' 2024-01-19 03:06:17,763 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/239.out' 2024-01-19 03:06:17,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/24.bin' 2024-01-19 03:06:17,767 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/24.out' 2024-01-19 03:06:17,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/240.bin' 2024-01-19 03:06:17,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/240.out' 2024-01-19 03:06:17,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/241.bin' 2024-01-19 03:06:17,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/241.out' 2024-01-19 03:06:17,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/242.bin' 2024-01-19 03:06:17,782 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/242.out' 2024-01-19 03:06:17,783 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/243.bin' 2024-01-19 03:06:17,786 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/243.out' 2024-01-19 03:06:17,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/244.bin' 2024-01-19 03:06:17,790 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/244.out' 2024-01-19 03:06:17,792 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/245.bin' 2024-01-19 03:06:17,795 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/245.out' 2024-01-19 03:06:17,796 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/246.bin' 2024-01-19 03:06:17,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/246.out' 2024-01-19 03:06:17,800 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/247.bin' 2024-01-19 03:06:17,803 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/247.out' 2024-01-19 03:06:17,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/248.bin' 2024-01-19 03:06:17,808 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/248.out' 2024-01-19 03:06:17,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/249.bin' 2024-01-19 03:06:17,812 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/249.out' 2024-01-19 03:06:17,813 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/25.bin' 2024-01-19 03:06:17,816 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/25.out' 2024-01-19 03:06:17,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/250.bin' 2024-01-19 03:06:17,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/250.out' 2024-01-19 03:06:17,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/251.bin' 2024-01-19 03:06:17,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/251.out' 2024-01-19 03:06:17,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/252.bin' 2024-01-19 03:06:17,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/252.out' 2024-01-19 03:06:17,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/253.bin' 2024-01-19 03:06:17,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/253.out' 2024-01-19 03:06:17,835 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/254.bin' 2024-01-19 03:06:17,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/254.out' 2024-01-19 03:06:17,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/255.bin' 2024-01-19 03:06:17,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/255.out' 2024-01-19 03:06:17,843 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/256.bin' 2024-01-19 03:06:17,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/256.out' 2024-01-19 03:06:17,847 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/257.bin' 2024-01-19 03:06:17,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/257.out' 2024-01-19 03:06:17,852 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/258.bin' 2024-01-19 03:06:17,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/258.out' 2024-01-19 03:06:17,856 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/259.bin' 2024-01-19 03:06:17,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/259.out' 2024-01-19 03:06:17,860 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/26.bin' 2024-01-19 03:06:17,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/26.out' 2024-01-19 03:06:17,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/260.bin' 2024-01-19 03:06:17,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/260.out' 2024-01-19 03:06:17,869 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/261.bin' 2024-01-19 03:06:17,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/261.out' 2024-01-19 03:06:17,873 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/262.bin' 2024-01-19 03:06:17,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/262.out' 2024-01-19 03:06:17,877 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/263.bin' 2024-01-19 03:06:17,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/263.out' 2024-01-19 03:06:17,881 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/264.bin' 2024-01-19 03:06:17,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/264.out' 2024-01-19 03:06:17,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/265.bin' 2024-01-19 03:06:17,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/265.out' 2024-01-19 03:06:17,890 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/266.bin' 2024-01-19 03:06:17,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/266.out' 2024-01-19 03:06:17,894 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/267.bin' 2024-01-19 03:06:17,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/267.out' 2024-01-19 03:06:17,898 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/268.bin' 2024-01-19 03:06:17,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/268.out' 2024-01-19 03:06:17,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/269.bin' 2024-01-19 03:06:17,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/269.out' 2024-01-19 03:06:17,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/27.bin' 2024-01-19 03:06:17,910 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/27.out' 2024-01-19 03:06:17,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/270.bin' 2024-01-19 03:06:17,914 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/270.out' 2024-01-19 03:06:17,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/271.bin' 2024-01-19 03:06:17,918 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/271.out' 2024-01-19 03:06:17,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/272.bin' 2024-01-19 03:06:17,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/272.out' 2024-01-19 03:06:17,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/273.bin' 2024-01-19 03:06:17,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/273.out' 2024-01-19 03:06:17,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/274.bin' 2024-01-19 03:06:17,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/274.out' 2024-01-19 03:06:17,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/275.bin' 2024-01-19 03:06:17,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/275.out' 2024-01-19 03:06:17,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/276.bin' 2024-01-19 03:06:17,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/276.out' 2024-01-19 03:06:17,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/277.bin' 2024-01-19 03:06:17,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/277.out' 2024-01-19 03:06:17,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/278.bin' 2024-01-19 03:06:17,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/278.out' 2024-01-19 03:06:17,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/279.bin' 2024-01-19 03:06:17,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/279.out' 2024-01-19 03:06:17,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/28.bin' 2024-01-19 03:06:17,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/28.out' 2024-01-19 03:06:17,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/280.bin' 2024-01-19 03:06:17,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/280.out' 2024-01-19 03:06:17,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/281.bin' 2024-01-19 03:06:17,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/281.out' 2024-01-19 03:06:17,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/282.bin' 2024-01-19 03:06:17,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/282.out' 2024-01-19 03:06:17,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/283.bin' 2024-01-19 03:06:17,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/283.out' 2024-01-19 03:06:17,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/284.bin' 2024-01-19 03:06:17,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/284.out' 2024-01-19 03:06:17,979 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/285.bin' 2024-01-19 03:06:17,982 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/285.out' 2024-01-19 03:06:17,983 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/286.bin' 2024-01-19 03:06:17,986 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/286.out' 2024-01-19 03:06:17,987 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/287.bin' 2024-01-19 03:06:17,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/287.out' 2024-01-19 03:06:17,991 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/288.bin' 2024-01-19 03:06:17,994 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/288.out' 2024-01-19 03:06:17,996 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/289.bin' 2024-01-19 03:06:17,999 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/289.out' 2024-01-19 03:06:18,000 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/29.bin' 2024-01-19 03:06:18,003 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/29.out' 2024-01-19 03:06:18,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/290.bin' 2024-01-19 03:06:18,007 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/290.out' 2024-01-19 03:06:18,008 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/291.bin' 2024-01-19 03:06:18,011 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/291.out' 2024-01-19 03:06:18,013 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/292.bin' 2024-01-19 03:06:18,016 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/292.out' 2024-01-19 03:06:18,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/293.bin' 2024-01-19 03:06:18,020 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/293.out' 2024-01-19 03:06:18,021 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/294.bin' 2024-01-19 03:06:18,024 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/294.out' 2024-01-19 03:06:18,025 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/295.bin' 2024-01-19 03:06:18,028 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/295.out' 2024-01-19 03:06:18,029 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/296.bin' 2024-01-19 03:06:18,032 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/296.out' 2024-01-19 03:06:18,034 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/297.bin' 2024-01-19 03:06:18,037 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/297.out' 2024-01-19 03:06:18,038 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/298.bin' 2024-01-19 03:06:18,041 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/298.out' 2024-01-19 03:06:18,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/299.bin' 2024-01-19 03:06:18,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/299.out' 2024-01-19 03:06:18,047 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/3.bin' 2024-01-19 03:06:18,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/3.out' 2024-01-19 03:06:18,051 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/30.bin' 2024-01-19 03:06:18,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/30.out' 2024-01-19 03:06:18,055 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/300.bin' 2024-01-19 03:06:18,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/300.out' 2024-01-19 03:06:18,059 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/301.bin' 2024-01-19 03:06:18,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/301.out' 2024-01-19 03:06:18,064 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/302.bin' 2024-01-19 03:06:18,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/302.out' 2024-01-19 03:06:18,068 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/303.bin' 2024-01-19 03:06:18,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/303.out' 2024-01-19 03:06:18,072 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/304.bin' 2024-01-19 03:06:18,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/304.out' 2024-01-19 03:06:18,076 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/305.bin' 2024-01-19 03:06:18,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/305.out' 2024-01-19 03:06:18,080 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/306.bin' 2024-01-19 03:06:18,083 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/306.out' 2024-01-19 03:06:18,085 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/307.bin' 2024-01-19 03:06:18,088 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/307.out' 2024-01-19 03:06:18,089 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/308.bin' 2024-01-19 03:06:18,092 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/308.out' 2024-01-19 03:06:18,093 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/309.bin' 2024-01-19 03:06:18,096 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/309.out' 2024-01-19 03:06:18,097 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/31.bin' 2024-01-19 03:06:18,100 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/31.out' 2024-01-19 03:06:18,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/310.bin' 2024-01-19 03:06:18,105 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/310.out' 2024-01-19 03:06:18,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/311.bin' 2024-01-19 03:06:18,109 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/311.out' 2024-01-19 03:06:18,110 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/312.bin' 2024-01-19 03:06:18,113 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/312.out' 2024-01-19 03:06:18,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/313.bin' 2024-01-19 03:06:18,117 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/313.out' 2024-01-19 03:06:18,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/314.bin' 2024-01-19 03:06:18,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/314.out' 2024-01-19 03:06:18,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/315.bin' 2024-01-19 03:06:18,126 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/315.out' 2024-01-19 03:06:18,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/316.bin' 2024-01-19 03:06:18,130 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/316.out' 2024-01-19 03:06:18,131 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/317.bin' 2024-01-19 03:06:18,134 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/317.out' 2024-01-19 03:06:18,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/318.bin' 2024-01-19 03:06:18,138 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/318.out' 2024-01-19 03:06:18,140 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/319.bin' 2024-01-19 03:06:18,143 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/319.out' 2024-01-19 03:06:18,144 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/32.bin' 2024-01-19 03:06:18,147 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/32.out' 2024-01-19 03:06:18,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/320.bin' 2024-01-19 03:06:18,151 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/320.out' 2024-01-19 03:06:18,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/321.bin' 2024-01-19 03:06:18,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/321.out' 2024-01-19 03:06:18,157 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/322.bin' 2024-01-19 03:06:18,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/322.out' 2024-01-19 03:06:18,161 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/323.bin' 2024-01-19 03:06:18,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/323.out' 2024-01-19 03:06:18,165 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/324.bin' 2024-01-19 03:06:18,168 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/324.out' 2024-01-19 03:06:18,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/325.bin' 2024-01-19 03:06:18,172 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/325.out' 2024-01-19 03:06:18,174 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/326.bin' 2024-01-19 03:06:18,177 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/326.out' 2024-01-19 03:06:18,178 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/327.bin' 2024-01-19 03:06:18,181 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/327.out' 2024-01-19 03:06:18,182 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/328.bin' 2024-01-19 03:06:18,185 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/328.out' 2024-01-19 03:06:18,186 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/329.bin' 2024-01-19 03:06:18,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/329.out' 2024-01-19 03:06:18,191 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/33.bin' 2024-01-19 03:06:18,194 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/33.out' 2024-01-19 03:06:18,195 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/330.bin' 2024-01-19 03:06:18,198 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/330.out' 2024-01-19 03:06:18,199 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/331.bin' 2024-01-19 03:06:18,202 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/331.out' 2024-01-19 03:06:18,203 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/332.bin' 2024-01-19 03:06:18,206 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/332.out' 2024-01-19 03:06:18,208 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/333.bin' 2024-01-19 03:06:18,211 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/333.out' 2024-01-19 03:06:18,212 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/334.bin' 2024-01-19 03:06:18,215 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/334.out' 2024-01-19 03:06:18,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/335.bin' 2024-01-19 03:06:18,219 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/335.out' 2024-01-19 03:06:18,220 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/336.bin' 2024-01-19 03:06:18,223 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/336.out' 2024-01-19 03:06:18,225 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/337.bin' 2024-01-19 03:06:18,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/337.out' 2024-01-19 03:06:18,229 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/338.bin' 2024-01-19 03:06:18,232 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/338.out' 2024-01-19 03:06:18,233 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/339.bin' 2024-01-19 03:06:18,236 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/339.out' 2024-01-19 03:06:18,237 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/34.bin' 2024-01-19 03:06:18,240 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/34.out' 2024-01-19 03:06:18,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/340.bin' 2024-01-19 03:06:18,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/340.out' 2024-01-19 03:06:18,246 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/341.bin' 2024-01-19 03:06:18,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/341.out' 2024-01-19 03:06:18,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/342.bin' 2024-01-19 03:06:18,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/342.out' 2024-01-19 03:06:18,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/343.bin' 2024-01-19 03:06:18,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/343.out' 2024-01-19 03:06:18,259 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/344.bin' 2024-01-19 03:06:18,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/344.out' 2024-01-19 03:06:18,263 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/345.bin' 2024-01-19 03:06:18,266 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/345.out' 2024-01-19 03:06:18,267 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/346.bin' 2024-01-19 03:06:18,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/346.out' 2024-01-19 03:06:18,271 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/347.bin' 2024-01-19 03:06:18,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/347.out' 2024-01-19 03:06:18,276 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/348.bin' 2024-01-19 03:06:18,279 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/348.out' 2024-01-19 03:06:18,280 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/349.bin' 2024-01-19 03:06:18,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/349.out' 2024-01-19 03:06:18,284 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/35.bin' 2024-01-19 03:06:18,287 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/35.out' 2024-01-19 03:06:18,288 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/350.bin' 2024-01-19 03:06:18,291 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/350.out' 2024-01-19 03:06:18,293 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/351.bin' 2024-01-19 03:06:18,296 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/351.out' 2024-01-19 03:06:18,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/352.bin' 2024-01-19 03:06:18,300 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/352.out' 2024-01-19 03:06:18,301 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/353.bin' 2024-01-19 03:06:18,304 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/353.out' 2024-01-19 03:06:18,305 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/354.bin' 2024-01-19 03:06:18,308 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/354.out' 2024-01-19 03:06:18,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/355.bin' 2024-01-19 03:06:18,313 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/355.out' 2024-01-19 03:06:18,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/356.bin' 2024-01-19 03:06:18,317 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/356.out' 2024-01-19 03:06:18,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/357.bin' 2024-01-19 03:06:18,321 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/357.out' 2024-01-19 03:06:18,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/358.bin' 2024-01-19 03:06:18,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/358.out' 2024-01-19 03:06:18,327 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/359.bin' 2024-01-19 03:06:18,330 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/359.out' 2024-01-19 03:06:18,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/36.bin' 2024-01-19 03:06:18,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/36.out' 2024-01-19 03:06:18,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/360.bin' 2024-01-19 03:06:18,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/360.out' 2024-01-19 03:06:18,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/361.bin' 2024-01-19 03:06:18,342 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/361.out' 2024-01-19 03:06:18,344 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/362.bin' 2024-01-19 03:06:18,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/362.out' 2024-01-19 03:06:18,348 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/363.bin' 2024-01-19 03:06:18,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/363.out' 2024-01-19 03:06:18,356 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/364.bin' 2024-01-19 03:06:18,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/364.out' 2024-01-19 03:06:18,360 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/365.bin' 2024-01-19 03:06:18,363 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/365.out' 2024-01-19 03:06:18,365 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/366.bin' 2024-01-19 03:06:18,368 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/366.out' 2024-01-19 03:06:18,369 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/367.bin' 2024-01-19 03:06:18,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/367.out' 2024-01-19 03:06:18,373 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/368.bin' 2024-01-19 03:06:18,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/368.out' 2024-01-19 03:06:18,377 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/369.bin' 2024-01-19 03:06:18,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/369.out' 2024-01-19 03:06:18,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/37.bin' 2024-01-19 03:06:18,385 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/37.out' 2024-01-19 03:06:18,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/370.bin' 2024-01-19 03:06:18,389 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/370.out' 2024-01-19 03:06:18,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/371.bin' 2024-01-19 03:06:18,393 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/371.out' 2024-01-19 03:06:18,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/372.bin' 2024-01-19 03:06:18,397 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/372.out' 2024-01-19 03:06:18,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/373.bin' 2024-01-19 03:06:18,402 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/373.out' 2024-01-19 03:06:18,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/374.bin' 2024-01-19 03:06:18,406 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/374.out' 2024-01-19 03:06:18,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/375.bin' 2024-01-19 03:06:18,410 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/375.out' 2024-01-19 03:06:18,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/376.bin' 2024-01-19 03:06:18,414 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/376.out' 2024-01-19 03:06:18,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/377.bin' 2024-01-19 03:06:18,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/377.out' 2024-01-19 03:06:18,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/378.bin' 2024-01-19 03:06:18,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/378.out' 2024-01-19 03:06:18,424 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/379.bin' 2024-01-19 03:06:18,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/379.out' 2024-01-19 03:06:18,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/38.bin' 2024-01-19 03:06:18,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/38.out' 2024-01-19 03:06:18,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/380.bin' 2024-01-19 03:06:18,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/380.out' 2024-01-19 03:06:18,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/381.bin' 2024-01-19 03:06:18,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/381.out' 2024-01-19 03:06:18,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/382.bin' 2024-01-19 03:06:18,444 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/382.out' 2024-01-19 03:06:18,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/383.bin' 2024-01-19 03:06:18,448 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/383.out' 2024-01-19 03:06:18,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/384.bin' 2024-01-19 03:06:18,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/384.out' 2024-01-19 03:06:18,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/385.bin' 2024-01-19 03:06:18,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/385.out' 2024-01-19 03:06:18,458 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/386.bin' 2024-01-19 03:06:18,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/386.out' 2024-01-19 03:06:18,462 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/387.bin' 2024-01-19 03:06:18,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/387.out' 2024-01-19 03:06:18,466 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/388.bin' 2024-01-19 03:06:18,470 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/388.out' 2024-01-19 03:06:18,471 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/389.bin' 2024-01-19 03:06:18,474 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/389.out' 2024-01-19 03:06:18,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/39.bin' 2024-01-19 03:06:18,478 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/39.out' 2024-01-19 03:06:18,479 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/390.bin' 2024-01-19 03:06:18,482 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/390.out' 2024-01-19 03:06:18,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/391.bin' 2024-01-19 03:06:18,487 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/391.out' 2024-01-19 03:06:18,488 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/392.bin' 2024-01-19 03:06:18,491 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/392.out' 2024-01-19 03:06:18,492 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/393.bin' 2024-01-19 03:06:18,495 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/393.out' 2024-01-19 03:06:18,496 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/394.bin' 2024-01-19 03:06:18,499 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/394.out' 2024-01-19 03:06:18,501 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/395.bin' 2024-01-19 03:06:18,504 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/395.out' 2024-01-19 03:06:18,505 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/396.bin' 2024-01-19 03:06:18,508 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/396.out' 2024-01-19 03:06:18,509 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/397.bin' 2024-01-19 03:06:18,512 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/397.out' 2024-01-19 03:06:18,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/398.bin' 2024-01-19 03:06:18,517 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/398.out' 2024-01-19 03:06:18,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/399.bin' 2024-01-19 03:06:18,521 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/399.out' 2024-01-19 03:06:18,522 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/4.bin' 2024-01-19 03:06:18,525 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/4.out' 2024-01-19 03:06:18,526 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/40.bin' 2024-01-19 03:06:18,529 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/40.out' 2024-01-19 03:06:18,531 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/400.bin' 2024-01-19 03:06:18,534 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/400.out' 2024-01-19 03:06:18,535 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/401.bin' 2024-01-19 03:06:18,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/401.out' 2024-01-19 03:06:18,539 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/402.bin' 2024-01-19 03:06:18,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/402.out' 2024-01-19 03:06:18,543 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/403.bin' 2024-01-19 03:06:18,547 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/403.out' 2024-01-19 03:06:18,548 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/404.bin' 2024-01-19 03:06:18,551 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/404.out' 2024-01-19 03:06:18,552 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/405.bin' 2024-01-19 03:06:18,555 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/405.out' 2024-01-19 03:06:18,556 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/406.bin' 2024-01-19 03:06:18,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/406.out' 2024-01-19 03:06:18,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/407.bin' 2024-01-19 03:06:18,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/407.out' 2024-01-19 03:06:18,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/408.bin' 2024-01-19 03:06:18,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/408.out' 2024-01-19 03:06:18,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/409.bin' 2024-01-19 03:06:18,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/409.out' 2024-01-19 03:06:18,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/41.bin' 2024-01-19 03:06:18,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/41.out' 2024-01-19 03:06:18,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/410.bin' 2024-01-19 03:06:18,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/410.out' 2024-01-19 03:06:18,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/411.bin' 2024-01-19 03:06:18,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/411.out' 2024-01-19 03:06:18,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/412.bin' 2024-01-19 03:06:18,589 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/412.out' 2024-01-19 03:06:18,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/413.bin' 2024-01-19 03:06:18,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/413.out' 2024-01-19 03:06:18,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/414.bin' 2024-01-19 03:06:18,598 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/414.out' 2024-01-19 03:06:18,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/415.bin' 2024-01-19 03:06:18,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/415.out' 2024-01-19 03:06:18,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/416.bin' 2024-01-19 03:06:18,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/416.out' 2024-01-19 03:06:18,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/417.bin' 2024-01-19 03:06:18,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/417.out' 2024-01-19 03:06:18,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/418.bin' 2024-01-19 03:06:18,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/418.out' 2024-01-19 03:06:18,616 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/419.bin' 2024-01-19 03:06:18,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/419.out' 2024-01-19 03:06:18,620 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/42.bin' 2024-01-19 03:06:18,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/42.out' 2024-01-19 03:06:18,625 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/420.bin' 2024-01-19 03:06:18,628 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/420.out' 2024-01-19 03:06:18,629 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/421.bin' 2024-01-19 03:06:18,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/421.out' 2024-01-19 03:06:18,633 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/422.bin' 2024-01-19 03:06:18,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/422.out' 2024-01-19 03:06:18,637 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/423.bin' 2024-01-19 03:06:18,641 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/423.out' 2024-01-19 03:06:18,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/424.bin' 2024-01-19 03:06:18,645 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/424.out' 2024-01-19 03:06:18,646 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/425.bin' 2024-01-19 03:06:18,649 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/425.out' 2024-01-19 03:06:18,650 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/426.bin' 2024-01-19 03:06:18,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/426.out' 2024-01-19 03:06:18,655 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/427.bin' 2024-01-19 03:06:18,658 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/427.out' 2024-01-19 03:06:18,659 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/428.bin' 2024-01-19 03:06:18,662 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/428.out' 2024-01-19 03:06:18,663 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/429.bin' 2024-01-19 03:06:18,666 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/429.out' 2024-01-19 03:06:18,667 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/43.bin' 2024-01-19 03:06:18,671 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/43.out' 2024-01-19 03:06:18,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/430.bin' 2024-01-19 03:06:18,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/430.out' 2024-01-19 03:06:18,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/431.bin' 2024-01-19 03:06:18,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/431.out' 2024-01-19 03:06:18,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/432.bin' 2024-01-19 03:06:18,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/432.out' 2024-01-19 03:06:18,685 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/433.bin' 2024-01-19 03:06:18,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/433.out' 2024-01-19 03:06:18,689 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/434.bin' 2024-01-19 03:06:18,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/434.out' 2024-01-19 03:06:18,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/435.bin' 2024-01-19 03:06:18,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/435.out' 2024-01-19 03:06:18,697 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/436.bin' 2024-01-19 03:06:18,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/436.out' 2024-01-19 03:06:18,701 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/437.bin' 2024-01-19 03:06:18,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/437.out' 2024-01-19 03:06:18,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/438.bin' 2024-01-19 03:06:18,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/438.out' 2024-01-19 03:06:18,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/439.bin' 2024-01-19 03:06:18,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/439.out' 2024-01-19 03:06:18,714 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/44.bin' 2024-01-19 03:06:18,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/44.out' 2024-01-19 03:06:18,719 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/440.bin' 2024-01-19 03:06:18,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/440.out' 2024-01-19 03:06:18,723 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/441.bin' 2024-01-19 03:06:18,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/441.out' 2024-01-19 03:06:18,727 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/442.bin' 2024-01-19 03:06:18,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/442.out' 2024-01-19 03:06:18,731 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/443.bin' 2024-01-19 03:06:18,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/443.out' 2024-01-19 03:06:18,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/444.bin' 2024-01-19 03:06:18,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/444.out' 2024-01-19 03:06:18,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/445.bin' 2024-01-19 03:06:18,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/445.out' 2024-01-19 03:06:18,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/446.bin' 2024-01-19 03:06:18,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/446.out' 2024-01-19 03:06:18,748 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/447.bin' 2024-01-19 03:06:18,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/447.out' 2024-01-19 03:06:18,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/448.bin' 2024-01-19 03:06:18,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/448.out' 2024-01-19 03:06:18,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/449.bin' 2024-01-19 03:06:18,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/449.out' 2024-01-19 03:06:18,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/45.bin' 2024-01-19 03:06:18,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/45.out' 2024-01-19 03:06:18,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/450.bin' 2024-01-19 03:06:18,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/450.out' 2024-01-19 03:06:18,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/451.bin' 2024-01-19 03:06:18,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/451.out' 2024-01-19 03:06:18,774 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/452.bin' 2024-01-19 03:06:18,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/452.out' 2024-01-19 03:06:18,778 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/453.bin' 2024-01-19 03:06:18,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/453.out' 2024-01-19 03:06:18,782 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/454.bin' 2024-01-19 03:06:18,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/454.out' 2024-01-19 03:06:18,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/455.bin' 2024-01-19 03:06:18,790 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/455.out' 2024-01-19 03:06:18,791 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/456.bin' 2024-01-19 03:06:18,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/456.out' 2024-01-19 03:06:18,795 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/457.bin' 2024-01-19 03:06:18,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/457.out' 2024-01-19 03:06:18,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/458.bin' 2024-01-19 03:06:18,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/458.out' 2024-01-19 03:06:18,804 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/459.bin' 2024-01-19 03:06:18,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/459.out' 2024-01-19 03:06:18,808 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/46.bin' 2024-01-19 03:06:18,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/46.out' 2024-01-19 03:06:18,812 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/460.bin' 2024-01-19 03:06:18,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/460.out' 2024-01-19 03:06:18,817 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/461.bin' 2024-01-19 03:06:18,820 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/461.out' 2024-01-19 03:06:18,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/462.bin' 2024-01-19 03:06:18,824 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/462.out' 2024-01-19 03:06:18,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/463.bin' 2024-01-19 03:06:18,828 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/463.out' 2024-01-19 03:06:18,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/464.bin' 2024-01-19 03:06:18,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/464.out' 2024-01-19 03:06:18,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/465.bin' 2024-01-19 03:06:18,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/465.out' 2024-01-19 03:06:18,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/466.bin' 2024-01-19 03:06:18,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/466.out' 2024-01-19 03:06:18,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/467.bin' 2024-01-19 03:06:18,845 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/467.out' 2024-01-19 03:06:18,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/468.bin' 2024-01-19 03:06:18,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/468.out' 2024-01-19 03:06:18,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/469.bin' 2024-01-19 03:06:18,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/469.out' 2024-01-19 03:06:18,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/47.bin' 2024-01-19 03:06:18,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/47.out' 2024-01-19 03:06:18,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/470.bin' 2024-01-19 03:06:18,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/470.out' 2024-01-19 03:06:18,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/471.bin' 2024-01-19 03:06:18,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/471.out' 2024-01-19 03:06:18,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/472.bin' 2024-01-19 03:06:18,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/472.out' 2024-01-19 03:06:18,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/473.bin' 2024-01-19 03:06:18,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/473.out' 2024-01-19 03:06:18,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/474.bin' 2024-01-19 03:06:18,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/474.out' 2024-01-19 03:06:18,881 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/475.bin' 2024-01-19 03:06:18,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/475.out' 2024-01-19 03:06:18,885 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/476.bin' 2024-01-19 03:06:18,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/476.out' 2024-01-19 03:06:18,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/477.bin' 2024-01-19 03:06:18,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/477.out' 2024-01-19 03:06:18,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/478.bin' 2024-01-19 03:06:18,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/478.out' 2024-01-19 03:06:18,898 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/479.bin' 2024-01-19 03:06:18,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/479.out' 2024-01-19 03:06:18,902 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/48.bin' 2024-01-19 03:06:18,905 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/48.out' 2024-01-19 03:06:18,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/480.bin' 2024-01-19 03:06:18,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/480.out' 2024-01-19 03:06:18,910 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/481.bin' 2024-01-19 03:06:18,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/481.out' 2024-01-19 03:06:18,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/482.bin' 2024-01-19 03:06:18,918 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/482.out' 2024-01-19 03:06:18,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/483.bin' 2024-01-19 03:06:18,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/483.out' 2024-01-19 03:06:18,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/484.bin' 2024-01-19 03:06:18,926 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/484.out' 2024-01-19 03:06:18,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/485.bin' 2024-01-19 03:06:18,930 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/485.out' 2024-01-19 03:06:18,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/486.bin' 2024-01-19 03:06:18,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/486.out' 2024-01-19 03:06:18,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/487.bin' 2024-01-19 03:06:18,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/487.out' 2024-01-19 03:06:18,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/488.bin' 2024-01-19 03:06:18,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/488.out' 2024-01-19 03:06:18,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/489.bin' 2024-01-19 03:06:18,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/489.out' 2024-01-19 03:06:18,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/49.bin' 2024-01-19 03:06:18,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/49.out' 2024-01-19 03:06:18,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/490.bin' 2024-01-19 03:06:18,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/490.out' 2024-01-19 03:06:18,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/491.bin' 2024-01-19 03:06:18,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/491.out' 2024-01-19 03:06:18,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/492.bin' 2024-01-19 03:06:18,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/492.out' 2024-01-19 03:06:18,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/493.bin' 2024-01-19 03:06:18,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/493.out' 2024-01-19 03:06:18,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/494.bin' 2024-01-19 03:06:18,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/494.out' 2024-01-19 03:06:18,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/495.bin' 2024-01-19 03:06:18,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/495.out' 2024-01-19 03:06:18,979 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/496.bin' 2024-01-19 03:06:18,982 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/496.out' 2024-01-19 03:06:18,983 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/497.bin' 2024-01-19 03:06:18,986 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/497.out' 2024-01-19 03:06:18,987 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/498.bin' 2024-01-19 03:06:18,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/498.out' 2024-01-19 03:06:18,992 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/499.bin' 2024-01-19 03:06:18,995 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/499.out' 2024-01-19 03:06:18,996 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/5.bin' 2024-01-19 03:06:18,999 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/5.out' 2024-01-19 03:06:19,000 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/50.bin' 2024-01-19 03:06:19,003 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/50.out' 2024-01-19 03:06:19,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/500.bin' 2024-01-19 03:06:19,007 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/500.out' 2024-01-19 03:06:19,009 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/501.bin' 2024-01-19 03:06:19,012 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/501.out' 2024-01-19 03:06:19,013 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/502.bin' 2024-01-19 03:06:19,016 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/502.out' 2024-01-19 03:06:19,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/503.bin' 2024-01-19 03:06:19,020 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/503.out' 2024-01-19 03:06:19,021 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/504.bin' 2024-01-19 03:06:19,024 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/504.out' 2024-01-19 03:06:19,026 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/505.bin' 2024-01-19 03:06:19,029 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/505.out' 2024-01-19 03:06:19,030 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/506.bin' 2024-01-19 03:06:19,033 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/506.out' 2024-01-19 03:06:19,034 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/507.bin' 2024-01-19 03:06:19,037 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/507.out' 2024-01-19 03:06:19,039 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/508.bin' 2024-01-19 03:06:19,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/508.out' 2024-01-19 03:06:19,043 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/509.bin' 2024-01-19 03:06:19,046 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/509.out' 2024-01-19 03:06:19,047 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/51.bin' 2024-01-19 03:06:19,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/51.out' 2024-01-19 03:06:19,051 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/510.bin' 2024-01-19 03:06:19,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/510.out' 2024-01-19 03:06:19,055 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/511.bin' 2024-01-19 03:06:19,059 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/511.out' 2024-01-19 03:06:19,060 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/512.bin' 2024-01-19 03:06:19,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/512.out' 2024-01-19 03:06:19,064 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/513.bin' 2024-01-19 03:06:19,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/513.out' 2024-01-19 03:06:19,068 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/514.bin' 2024-01-19 03:06:19,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/514.out' 2024-01-19 03:06:19,073 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/515.bin' 2024-01-19 03:06:19,076 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/515.out' 2024-01-19 03:06:19,077 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/516.bin' 2024-01-19 03:06:19,080 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/516.out' 2024-01-19 03:06:19,081 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/517.bin' 2024-01-19 03:06:19,084 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/517.out' 2024-01-19 03:06:19,085 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/518.bin' 2024-01-19 03:06:19,088 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/518.out' 2024-01-19 03:06:19,090 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/519.bin' 2024-01-19 03:06:19,094 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/519.out' 2024-01-19 03:06:19,095 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/52.bin' 2024-01-19 03:06:19,098 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/52.out' 2024-01-19 03:06:19,099 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/520.bin' 2024-01-19 03:06:19,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/520.out' 2024-01-19 03:06:19,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/521.bin' 2024-01-19 03:06:19,107 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/521.out' 2024-01-19 03:06:19,108 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/522.bin' 2024-01-19 03:06:19,111 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/522.out' 2024-01-19 03:06:19,112 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/523.bin' 2024-01-19 03:06:19,115 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/523.out' 2024-01-19 03:06:19,116 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/524.bin' 2024-01-19 03:06:19,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/524.out' 2024-01-19 03:06:19,121 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/525.bin' 2024-01-19 03:06:19,124 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/525.out' 2024-01-19 03:06:19,125 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/526.bin' 2024-01-19 03:06:19,128 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/526.out' 2024-01-19 03:06:19,129 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/527.bin' 2024-01-19 03:06:19,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/527.out' 2024-01-19 03:06:19,133 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/528.bin' 2024-01-19 03:06:19,137 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/528.out' 2024-01-19 03:06:19,138 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/529.bin' 2024-01-19 03:06:19,141 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/529.out' 2024-01-19 03:06:19,142 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/53.bin' 2024-01-19 03:06:19,145 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/53.out' 2024-01-19 03:06:19,146 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/530.bin' 2024-01-19 03:06:19,149 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/530.out' 2024-01-19 03:06:19,151 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/531.bin' 2024-01-19 03:06:19,154 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/531.out' 2024-01-19 03:06:19,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/532.bin' 2024-01-19 03:06:19,158 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/532.out' 2024-01-19 03:06:19,159 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/533.bin' 2024-01-19 03:06:19,162 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/533.out' 2024-01-19 03:06:19,163 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/534.bin' 2024-01-19 03:06:19,166 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/534.out' 2024-01-19 03:06:19,168 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/535.bin' 2024-01-19 03:06:19,171 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/535.out' 2024-01-19 03:06:19,172 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/536.bin' 2024-01-19 03:06:19,175 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/536.out' 2024-01-19 03:06:19,176 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/537.bin' 2024-01-19 03:06:19,179 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/537.out' 2024-01-19 03:06:19,180 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/538.bin' 2024-01-19 03:06:19,183 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/538.out' 2024-01-19 03:06:19,185 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/539.bin' 2024-01-19 03:06:19,188 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/539.out' 2024-01-19 03:06:19,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/54.bin' 2024-01-19 03:06:19,192 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/54.out' 2024-01-19 03:06:19,193 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/540.bin' 2024-01-19 03:06:19,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/540.out' 2024-01-19 03:06:19,198 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/541.bin' 2024-01-19 03:06:19,201 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/541.out' 2024-01-19 03:06:19,202 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/542.bin' 2024-01-19 03:06:19,205 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/542.out' 2024-01-19 03:06:19,206 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/543.bin' 2024-01-19 03:06:19,209 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/543.out' 2024-01-19 03:06:19,210 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/544.bin' 2024-01-19 03:06:19,213 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/544.out' 2024-01-19 03:06:19,215 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/545.bin' 2024-01-19 03:06:19,218 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/545.out' 2024-01-19 03:06:19,219 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/546.bin' 2024-01-19 03:06:19,222 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/546.out' 2024-01-19 03:06:19,223 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/547.bin' 2024-01-19 03:06:19,226 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/547.out' 2024-01-19 03:06:19,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/548.bin' 2024-01-19 03:06:19,231 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/548.out' 2024-01-19 03:06:19,232 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/549.bin' 2024-01-19 03:06:19,235 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/549.out' 2024-01-19 03:06:19,236 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/55.bin' 2024-01-19 03:06:19,239 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/55.out' 2024-01-19 03:06:19,240 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/550.bin' 2024-01-19 03:06:19,243 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/550.out' 2024-01-19 03:06:19,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/551.bin' 2024-01-19 03:06:19,248 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/551.out' 2024-01-19 03:06:19,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/552.bin' 2024-01-19 03:06:19,252 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/552.out' 2024-01-19 03:06:19,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/553.bin' 2024-01-19 03:06:19,256 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/553.out' 2024-01-19 03:06:19,258 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/554.bin' 2024-01-19 03:06:19,261 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/554.out' 2024-01-19 03:06:19,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/555.bin' 2024-01-19 03:06:19,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/555.out' 2024-01-19 03:06:19,266 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/556.bin' 2024-01-19 03:06:19,269 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/556.out' 2024-01-19 03:06:19,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/557.bin' 2024-01-19 03:06:19,273 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/557.out' 2024-01-19 03:06:19,275 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/558.bin' 2024-01-19 03:06:19,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/558.out' 2024-01-19 03:06:19,279 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/559.bin' 2024-01-19 03:06:19,282 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/559.out' 2024-01-19 03:06:19,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/56.bin' 2024-01-19 03:06:19,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/56.out' 2024-01-19 03:06:19,287 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/560.bin' 2024-01-19 03:06:19,290 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/560.out' 2024-01-19 03:06:19,292 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/561.bin' 2024-01-19 03:06:19,295 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/561.out' 2024-01-19 03:06:19,296 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/562.bin' 2024-01-19 03:06:19,299 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/562.out' 2024-01-19 03:06:19,300 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/563.bin' 2024-01-19 03:06:19,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/563.out' 2024-01-19 03:06:19,304 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/564.bin' 2024-01-19 03:06:19,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/564.out' 2024-01-19 03:06:19,309 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/565.bin' 2024-01-19 03:06:19,312 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/565.out' 2024-01-19 03:06:19,313 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/566.bin' 2024-01-19 03:06:19,316 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/566.out' 2024-01-19 03:06:19,317 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/567.bin' 2024-01-19 03:06:19,320 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/567.out' 2024-01-19 03:06:19,321 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/568.bin' 2024-01-19 03:06:19,324 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/568.out' 2024-01-19 03:06:19,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/569.bin' 2024-01-19 03:06:19,329 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/569.out' 2024-01-19 03:06:19,330 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/57.bin' 2024-01-19 03:06:19,333 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/57.out' 2024-01-19 03:06:19,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/570.bin' 2024-01-19 03:06:19,337 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/570.out' 2024-01-19 03:06:19,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/571.bin' 2024-01-19 03:06:19,341 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/571.out' 2024-01-19 03:06:19,343 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/572.bin' 2024-01-19 03:06:19,346 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/572.out' 2024-01-19 03:06:19,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/573.bin' 2024-01-19 03:06:19,350 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/573.out' 2024-01-19 03:06:19,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/574.bin' 2024-01-19 03:06:19,354 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/574.out' 2024-01-19 03:06:19,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/575.bin' 2024-01-19 03:06:19,358 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/575.out' 2024-01-19 03:06:19,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/576.bin' 2024-01-19 03:06:19,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/576.out' 2024-01-19 03:06:19,364 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/577.bin' 2024-01-19 03:06:19,367 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/577.out' 2024-01-19 03:06:19,368 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/578.bin' 2024-01-19 03:06:19,371 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/578.out' 2024-01-19 03:06:19,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/579.bin' 2024-01-19 03:06:19,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/579.out' 2024-01-19 03:06:19,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/58.bin' 2024-01-19 03:06:19,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/58.out' 2024-01-19 03:06:19,381 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/580.bin' 2024-01-19 03:06:19,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/580.out' 2024-01-19 03:06:19,385 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/581.bin' 2024-01-19 03:06:19,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/581.out' 2024-01-19 03:06:19,389 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/582.bin' 2024-01-19 03:06:19,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/582.out' 2024-01-19 03:06:19,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/583.bin' 2024-01-19 03:06:19,397 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/583.out' 2024-01-19 03:06:19,398 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/584.bin' 2024-01-19 03:06:19,401 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/584.out' 2024-01-19 03:06:19,402 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/585.bin' 2024-01-19 03:06:19,405 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/585.out' 2024-01-19 03:06:19,406 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/586.bin' 2024-01-19 03:06:19,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/586.out' 2024-01-19 03:06:19,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/587.bin' 2024-01-19 03:06:19,414 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/587.out' 2024-01-19 03:06:19,415 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/588.bin' 2024-01-19 03:06:19,418 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/588.out' 2024-01-19 03:06:19,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/589.bin' 2024-01-19 03:06:19,422 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/589.out' 2024-01-19 03:06:19,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/59.bin' 2024-01-19 03:06:19,426 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/59.out' 2024-01-19 03:06:19,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/590.bin' 2024-01-19 03:06:19,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/590.out' 2024-01-19 03:06:19,432 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/591.bin' 2024-01-19 03:06:19,435 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/591.out' 2024-01-19 03:06:19,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/592.bin' 2024-01-19 03:06:19,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/592.out' 2024-01-19 03:06:19,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/593.bin' 2024-01-19 03:06:19,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/593.out' 2024-01-19 03:06:19,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/594.bin' 2024-01-19 03:06:19,448 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/594.out' 2024-01-19 03:06:19,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/595.bin' 2024-01-19 03:06:19,452 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/595.out' 2024-01-19 03:06:19,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/596.bin' 2024-01-19 03:06:19,456 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/596.out' 2024-01-19 03:06:19,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/597.bin' 2024-01-19 03:06:19,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/597.out' 2024-01-19 03:06:19,462 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/598.bin' 2024-01-19 03:06:19,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/598.out' 2024-01-19 03:06:19,466 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/599.bin' 2024-01-19 03:06:19,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/599.out' 2024-01-19 03:06:19,470 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/6.bin' 2024-01-19 03:06:19,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/6.out' 2024-01-19 03:06:19,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/60.bin' 2024-01-19 03:06:19,478 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/60.out' 2024-01-19 03:06:19,479 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/600.bin' 2024-01-19 03:06:19,482 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/600.out' 2024-01-19 03:06:19,483 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/601.bin' 2024-01-19 03:06:19,486 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/601.out' 2024-01-19 03:06:19,487 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/602.bin' 2024-01-19 03:06:19,490 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/602.out' 2024-01-19 03:06:19,491 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/603.bin' 2024-01-19 03:06:19,494 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/603.out' 2024-01-19 03:06:19,496 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/604.bin' 2024-01-19 03:06:19,499 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/604.out' 2024-01-19 03:06:19,500 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/605.bin' 2024-01-19 03:06:19,503 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/605.out' 2024-01-19 03:06:19,504 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/606.bin' 2024-01-19 03:06:19,507 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/606.out' 2024-01-19 03:06:19,508 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/607.bin' 2024-01-19 03:06:19,511 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/607.out' 2024-01-19 03:06:19,513 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/608.bin' 2024-01-19 03:06:19,516 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/608.out' 2024-01-19 03:06:19,517 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/609.bin' 2024-01-19 03:06:19,520 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/609.out' 2024-01-19 03:06:19,521 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/61.bin' 2024-01-19 03:06:19,524 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/61.out' 2024-01-19 03:06:19,525 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/610.bin' 2024-01-19 03:06:19,529 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/610.out' 2024-01-19 03:06:19,530 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/611.bin' 2024-01-19 03:06:19,533 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/611.out' 2024-01-19 03:06:19,534 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/612.bin' 2024-01-19 03:06:19,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/612.out' 2024-01-19 03:06:19,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/613.bin' 2024-01-19 03:06:19,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/613.out' 2024-01-19 03:06:19,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/614.bin' 2024-01-19 03:06:19,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/614.out' 2024-01-19 03:06:19,547 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/615.bin' 2024-01-19 03:06:19,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/615.out' 2024-01-19 03:06:19,551 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/616.bin' 2024-01-19 03:06:19,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/616.out' 2024-01-19 03:06:19,555 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/617.bin' 2024-01-19 03:06:19,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/617.out' 2024-01-19 03:06:19,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/618.bin' 2024-01-19 03:06:19,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/618.out' 2024-01-19 03:06:19,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/619.bin' 2024-01-19 03:06:19,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/619.out' 2024-01-19 03:06:19,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/62.bin' 2024-01-19 03:06:19,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/62.out' 2024-01-19 03:06:19,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/620.bin' 2024-01-19 03:06:19,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/620.out' 2024-01-19 03:06:19,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/621.bin' 2024-01-19 03:06:19,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/621.out' 2024-01-19 03:06:19,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/622.bin' 2024-01-19 03:06:19,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/622.out' 2024-01-19 03:06:19,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/623.bin' 2024-01-19 03:06:19,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/623.out' 2024-01-19 03:06:19,589 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/624.bin' 2024-01-19 03:06:19,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/624.out' 2024-01-19 03:06:19,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/625.bin' 2024-01-19 03:06:19,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/625.out' 2024-01-19 03:06:19,598 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/626.bin' 2024-01-19 03:06:19,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/626.out' 2024-01-19 03:06:19,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/627.bin' 2024-01-19 03:06:19,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/627.out' 2024-01-19 03:06:19,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/628.bin' 2024-01-19 03:06:19,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/628.out' 2024-01-19 03:06:19,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/629.bin' 2024-01-19 03:06:19,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/629.out' 2024-01-19 03:06:19,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/63.bin' 2024-01-19 03:06:19,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/63.out' 2024-01-19 03:06:19,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/630.bin' 2024-01-19 03:06:19,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/630.out' 2024-01-19 03:06:19,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/631.bin' 2024-01-19 03:06:19,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/631.out' 2024-01-19 03:06:19,628 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/632.bin' 2024-01-19 03:06:19,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/632.out' 2024-01-19 03:06:19,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/633.bin' 2024-01-19 03:06:19,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/633.out' 2024-01-19 03:06:19,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/634.bin' 2024-01-19 03:06:19,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/634.out' 2024-01-19 03:06:19,641 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/635.bin' 2024-01-19 03:06:19,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/635.out' 2024-01-19 03:06:19,645 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/636.bin' 2024-01-19 03:06:19,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/636.out' 2024-01-19 03:06:19,649 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/637.bin' 2024-01-19 03:06:19,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/637.out' 2024-01-19 03:06:19,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/638.bin' 2024-01-19 03:06:19,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/638.out' 2024-01-19 03:06:19,658 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/639.bin' 2024-01-19 03:06:19,661 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/639.out' 2024-01-19 03:06:19,662 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/64.bin' 2024-01-19 03:06:19,665 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/64.out' 2024-01-19 03:06:19,666 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/640.bin' 2024-01-19 03:06:19,669 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/640.out' 2024-01-19 03:06:19,671 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/641.bin' 2024-01-19 03:06:19,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/641.out' 2024-01-19 03:06:19,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/642.bin' 2024-01-19 03:06:19,678 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/642.out' 2024-01-19 03:06:19,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/643.bin' 2024-01-19 03:06:19,682 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/643.out' 2024-01-19 03:06:19,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/644.bin' 2024-01-19 03:06:19,686 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/644.out' 2024-01-19 03:06:19,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/645.bin' 2024-01-19 03:06:19,691 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/645.out' 2024-01-19 03:06:19,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/646.bin' 2024-01-19 03:06:19,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/646.out' 2024-01-19 03:06:19,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/647.bin' 2024-01-19 03:06:19,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/647.out' 2024-01-19 03:06:19,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/648.bin' 2024-01-19 03:06:19,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/648.out' 2024-01-19 03:06:19,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/649.bin' 2024-01-19 03:06:19,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/649.out' 2024-01-19 03:06:19,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/65.bin' 2024-01-19 03:06:19,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/65.out' 2024-01-19 03:06:19,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/650.bin' 2024-01-19 03:06:19,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/650.out' 2024-01-19 03:06:19,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/651.bin' 2024-01-19 03:06:19,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/651.out' 2024-01-19 03:06:19,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/652.bin' 2024-01-19 03:06:19,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/652.out' 2024-01-19 03:06:19,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/653.bin' 2024-01-19 03:06:19,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/653.out' 2024-01-19 03:06:19,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/654.bin' 2024-01-19 03:06:19,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/654.out' 2024-01-19 03:06:19,735 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/655.bin' 2024-01-19 03:06:19,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/655.out' 2024-01-19 03:06:19,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/656.bin' 2024-01-19 03:06:19,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/656.out' 2024-01-19 03:06:19,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/657.bin' 2024-01-19 03:06:19,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/657.out' 2024-01-19 03:06:19,748 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/658.bin' 2024-01-19 03:06:19,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/658.out' 2024-01-19 03:06:19,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/659.bin' 2024-01-19 03:06:19,755 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/659.out' 2024-01-19 03:06:19,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/66.bin' 2024-01-19 03:06:19,759 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/66.out' 2024-01-19 03:06:19,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/660.bin' 2024-01-19 03:06:19,763 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/660.out' 2024-01-19 03:06:19,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/661.bin' 2024-01-19 03:06:19,767 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/661.out' 2024-01-19 03:06:19,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/662.bin' 2024-01-19 03:06:19,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/662.out' 2024-01-19 03:06:19,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/663.bin' 2024-01-19 03:06:19,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/663.out' 2024-01-19 03:06:19,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/664.bin' 2024-01-19 03:06:19,780 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/664.out' 2024-01-19 03:06:19,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/665.bin' 2024-01-19 03:06:19,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/665.out' 2024-01-19 03:06:19,786 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/666.bin' 2024-01-19 03:06:19,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/666.out' 2024-01-19 03:06:19,790 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/667.bin' 2024-01-19 03:06:19,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/667.out' 2024-01-19 03:06:19,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/668.bin' 2024-01-19 03:06:19,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/668.out' 2024-01-19 03:06:19,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/669.bin' 2024-01-19 03:06:19,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/669.out' 2024-01-19 03:06:19,803 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/67.bin' 2024-01-19 03:06:19,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/67.out' 2024-01-19 03:06:19,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/670.bin' 2024-01-19 03:06:19,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/670.out' 2024-01-19 03:06:19,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/671.bin' 2024-01-19 03:06:19,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/671.out' 2024-01-19 03:06:19,816 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/672.bin' 2024-01-19 03:06:19,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/672.out' 2024-01-19 03:06:19,820 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/673.bin' 2024-01-19 03:06:19,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/673.out' 2024-01-19 03:06:19,824 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/674.bin' 2024-01-19 03:06:19,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/674.out' 2024-01-19 03:06:19,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/675.bin' 2024-01-19 03:06:19,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/675.out' 2024-01-19 03:06:19,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/676.bin' 2024-01-19 03:06:19,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/676.out' 2024-01-19 03:06:19,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/677.bin' 2024-01-19 03:06:19,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/677.out' 2024-01-19 03:06:19,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/678.bin' 2024-01-19 03:06:19,845 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/678.out' 2024-01-19 03:06:19,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/679.bin' 2024-01-19 03:06:19,849 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/679.out' 2024-01-19 03:06:19,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/68.bin' 2024-01-19 03:06:19,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/68.out' 2024-01-19 03:06:19,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/680.bin' 2024-01-19 03:06:19,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/680.out' 2024-01-19 03:06:19,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/681.bin' 2024-01-19 03:06:19,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/681.out' 2024-01-19 03:06:19,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/682.bin' 2024-01-19 03:06:19,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/682.out' 2024-01-19 03:06:19,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/683.bin' 2024-01-19 03:06:19,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/683.out' 2024-01-19 03:06:19,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/684.bin' 2024-01-19 03:06:19,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/684.out' 2024-01-19 03:06:19,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/685.bin' 2024-01-19 03:06:19,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/685.out' 2024-01-19 03:06:19,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/686.bin' 2024-01-19 03:06:19,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/686.out' 2024-01-19 03:06:19,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/687.bin' 2024-01-19 03:06:19,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/687.out' 2024-01-19 03:06:19,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/688.bin' 2024-01-19 03:06:19,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/688.out' 2024-01-19 03:06:19,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/689.bin' 2024-01-19 03:06:19,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/689.out' 2024-01-19 03:06:19,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/69.bin' 2024-01-19 03:06:19,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/69.out' 2024-01-19 03:06:19,902 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/690.bin' 2024-01-19 03:06:19,905 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/690.out' 2024-01-19 03:06:19,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/691.bin' 2024-01-19 03:06:19,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/691.out' 2024-01-19 03:06:19,910 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/692.bin' 2024-01-19 03:06:19,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/692.out' 2024-01-19 03:06:19,914 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/693.bin' 2024-01-19 03:06:19,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/693.out' 2024-01-19 03:06:19,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/694.bin' 2024-01-19 03:06:19,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/694.out' 2024-01-19 03:06:19,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/695.bin' 2024-01-19 03:06:19,926 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/695.out' 2024-01-19 03:06:19,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/696.bin' 2024-01-19 03:06:19,930 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/696.out' 2024-01-19 03:06:19,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/697.bin' 2024-01-19 03:06:19,934 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/697.out' 2024-01-19 03:06:19,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/698.bin' 2024-01-19 03:06:19,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/698.out' 2024-01-19 03:06:19,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/699.bin' 2024-01-19 03:06:19,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/699.out' 2024-01-19 03:06:19,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/7.bin' 2024-01-19 03:06:19,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/7.out' 2024-01-19 03:06:19,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/70.bin' 2024-01-19 03:06:19,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/70.out' 2024-01-19 03:06:19,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/700.bin' 2024-01-19 03:06:19,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/700.out' 2024-01-19 03:06:19,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/701.bin' 2024-01-19 03:06:19,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/701.out' 2024-01-19 03:06:19,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/702.bin' 2024-01-19 03:06:19,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/702.out' 2024-01-19 03:06:19,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/703.bin' 2024-01-19 03:06:19,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/703.out' 2024-01-19 03:06:19,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/704.bin' 2024-01-19 03:06:19,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/704.out' 2024-01-19 03:06:19,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/705.bin' 2024-01-19 03:06:19,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/705.out' 2024-01-19 03:06:19,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/706.bin' 2024-01-19 03:06:19,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/706.out' 2024-01-19 03:06:19,982 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/707.bin' 2024-01-19 03:06:19,986 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/707.out' 2024-01-19 03:06:19,987 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/708.bin' 2024-01-19 03:06:19,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/708.out' 2024-01-19 03:06:19,991 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/709.bin' 2024-01-19 03:06:19,994 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/709.out' 2024-01-19 03:06:19,995 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/71.bin' 2024-01-19 03:06:19,998 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/71.out' 2024-01-19 03:06:20,000 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/710.bin' 2024-01-19 03:06:20,003 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/710.out' 2024-01-19 03:06:20,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/711.bin' 2024-01-19 03:06:20,007 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/711.out' 2024-01-19 03:06:20,008 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/712.bin' 2024-01-19 03:06:20,011 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/712.out' 2024-01-19 03:06:20,012 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/713.bin' 2024-01-19 03:06:20,015 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/713.out' 2024-01-19 03:06:20,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/714.bin' 2024-01-19 03:06:20,020 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/714.out' 2024-01-19 03:06:20,021 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/715.bin' 2024-01-19 03:06:20,024 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/715.out' 2024-01-19 03:06:20,025 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/716.bin' 2024-01-19 03:06:20,028 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/716.out' 2024-01-19 03:06:20,029 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/717.bin' 2024-01-19 03:06:20,032 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/717.out' 2024-01-19 03:06:20,034 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/718.bin' 2024-01-19 03:06:20,037 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/718.out' 2024-01-19 03:06:20,038 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/719.bin' 2024-01-19 03:06:20,041 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/719.out' 2024-01-19 03:06:20,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/72.bin' 2024-01-19 03:06:20,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/72.out' 2024-01-19 03:06:20,046 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/720.bin' 2024-01-19 03:06:20,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/720.out' 2024-01-19 03:06:20,051 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/721.bin' 2024-01-19 03:06:20,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/721.out' 2024-01-19 03:06:20,055 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/722.bin' 2024-01-19 03:06:20,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/722.out' 2024-01-19 03:06:20,059 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/723.bin' 2024-01-19 03:06:20,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/723.out' 2024-01-19 03:06:20,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/724.bin' 2024-01-19 03:06:20,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/724.out' 2024-01-19 03:06:20,068 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/725.bin' 2024-01-19 03:06:20,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/725.out' 2024-01-19 03:06:20,072 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/726.bin' 2024-01-19 03:06:20,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/726.out' 2024-01-19 03:06:20,076 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/727.bin' 2024-01-19 03:06:20,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/727.out' 2024-01-19 03:06:20,081 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/728.bin' 2024-01-19 03:06:20,084 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/728.out' 2024-01-19 03:06:20,085 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/729.bin' 2024-01-19 03:06:20,088 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/729.out' 2024-01-19 03:06:20,089 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/73.bin' 2024-01-19 03:06:20,092 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/73.out' 2024-01-19 03:06:20,093 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/730.bin' 2024-01-19 03:06:20,096 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/730.out' 2024-01-19 03:06:20,098 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/731.bin' 2024-01-19 03:06:20,101 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/731.out' 2024-01-19 03:06:20,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/732.bin' 2024-01-19 03:06:20,105 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/732.out' 2024-01-19 03:06:20,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/733.bin' 2024-01-19 03:06:20,109 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/733.out' 2024-01-19 03:06:20,110 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/734.bin' 2024-01-19 03:06:20,113 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/734.out' 2024-01-19 03:06:20,115 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/735.bin' 2024-01-19 03:06:20,118 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/735.out' 2024-01-19 03:06:20,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/736.bin' 2024-01-19 03:06:20,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/736.out' 2024-01-19 03:06:20,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/737.bin' 2024-01-19 03:06:20,126 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/737.out' 2024-01-19 03:06:20,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/738.bin' 2024-01-19 03:06:20,130 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/738.out' 2024-01-19 03:06:20,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/739.bin' 2024-01-19 03:06:20,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/739.out' 2024-01-19 03:06:20,136 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/74.bin' 2024-01-19 03:06:20,139 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/74.out' 2024-01-19 03:06:20,140 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/740.bin' 2024-01-19 03:06:20,143 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/740.out' 2024-01-19 03:06:20,145 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/741.bin' 2024-01-19 03:06:20,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/741.out' 2024-01-19 03:06:20,149 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/742.bin' 2024-01-19 03:06:20,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/742.out' 2024-01-19 03:06:20,153 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/743.bin' 2024-01-19 03:06:20,156 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/743.out' 2024-01-19 03:06:20,157 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/744.bin' 2024-01-19 03:06:20,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/744.out' 2024-01-19 03:06:20,161 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/745.bin' 2024-01-19 03:06:20,165 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/745.out' 2024-01-19 03:06:20,166 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/746.bin' 2024-01-19 03:06:20,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/746.out' 2024-01-19 03:06:20,170 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/747.bin' 2024-01-19 03:06:20,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/747.out' 2024-01-19 03:06:20,174 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/748.bin' 2024-01-19 03:06:20,177 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/748.out' 2024-01-19 03:06:20,179 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/749.bin' 2024-01-19 03:06:20,182 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/749.out' 2024-01-19 03:06:20,183 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/75.bin' 2024-01-19 03:06:20,186 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/75.out' 2024-01-19 03:06:20,187 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/750.bin' 2024-01-19 03:06:20,190 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/750.out' 2024-01-19 03:06:20,191 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/751.bin' 2024-01-19 03:06:20,194 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/751.out' 2024-01-19 03:06:20,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/752.bin' 2024-01-19 03:06:20,199 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/752.out' 2024-01-19 03:06:20,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/753.bin' 2024-01-19 03:06:20,203 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/753.out' 2024-01-19 03:06:20,204 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/754.bin' 2024-01-19 03:06:20,207 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/754.out' 2024-01-19 03:06:20,208 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/755.bin' 2024-01-19 03:06:20,211 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/755.out' 2024-01-19 03:06:20,213 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/756.bin' 2024-01-19 03:06:20,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/756.out' 2024-01-19 03:06:20,217 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/757.bin' 2024-01-19 03:06:20,220 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/757.out' 2024-01-19 03:06:20,221 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/758.bin' 2024-01-19 03:06:20,224 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/758.out' 2024-01-19 03:06:20,225 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/759.bin' 2024-01-19 03:06:20,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/759.out' 2024-01-19 03:06:20,230 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/76.bin' 2024-01-19 03:06:20,233 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/76.out' 2024-01-19 03:06:20,234 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/760.bin' 2024-01-19 03:06:20,237 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/760.out' 2024-01-19 03:06:20,238 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/761.bin' 2024-01-19 03:06:20,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/761.out' 2024-01-19 03:06:20,242 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/762.bin' 2024-01-19 03:06:20,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/762.out' 2024-01-19 03:06:20,247 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/763.bin' 2024-01-19 03:06:20,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/763.out' 2024-01-19 03:06:20,251 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/764.bin' 2024-01-19 03:06:20,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/764.out' 2024-01-19 03:06:20,255 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/765.bin' 2024-01-19 03:06:20,258 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/765.out' 2024-01-19 03:06:20,259 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/766.bin' 2024-01-19 03:06:20,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/766.out' 2024-01-19 03:06:20,264 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/767.bin' 2024-01-19 03:06:20,267 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/767.out' 2024-01-19 03:06:20,268 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/768.bin' 2024-01-19 03:06:20,271 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/768.out' 2024-01-19 03:06:20,272 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/769.bin' 2024-01-19 03:06:20,275 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/769.out' 2024-01-19 03:06:20,276 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/77.bin' 2024-01-19 03:06:20,279 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/77.out' 2024-01-19 03:06:20,281 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/770.bin' 2024-01-19 03:06:20,284 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/770.out' 2024-01-19 03:06:20,285 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/771.bin' 2024-01-19 03:06:20,288 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/771.out' 2024-01-19 03:06:20,289 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/772.bin' 2024-01-19 03:06:20,292 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/772.out' 2024-01-19 03:06:20,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/773.bin' 2024-01-19 03:06:20,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/773.out' 2024-01-19 03:06:20,298 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/774.bin' 2024-01-19 03:06:20,301 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/774.out' 2024-01-19 03:06:20,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/775.bin' 2024-01-19 03:06:20,305 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/775.out' 2024-01-19 03:06:20,306 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/776.bin' 2024-01-19 03:06:20,309 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/776.out' 2024-01-19 03:06:20,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/777.bin' 2024-01-19 03:06:20,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/777.out' 2024-01-19 03:06:20,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/778.bin' 2024-01-19 03:06:20,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/778.out' 2024-01-19 03:06:20,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/779.bin' 2024-01-19 03:06:20,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/779.out' 2024-01-19 03:06:20,323 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/78.bin' 2024-01-19 03:06:20,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/78.out' 2024-01-19 03:06:20,328 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/780.bin' 2024-01-19 03:06:20,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/780.out' 2024-01-19 03:06:20,332 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/781.bin' 2024-01-19 03:06:20,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/781.out' 2024-01-19 03:06:20,336 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/782.bin' 2024-01-19 03:06:20,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/782.out' 2024-01-19 03:06:20,340 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/783.bin' 2024-01-19 03:06:20,343 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/783.out' 2024-01-19 03:06:20,345 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/784.bin' 2024-01-19 03:06:20,348 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/784.out' 2024-01-19 03:06:20,349 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/785.bin' 2024-01-19 03:06:20,352 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/785.out' 2024-01-19 03:06:20,353 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/786.bin' 2024-01-19 03:06:20,356 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/786.out' 2024-01-19 03:06:20,357 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/787.bin' 2024-01-19 03:06:20,360 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/787.out' 2024-01-19 03:06:20,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/788.bin' 2024-01-19 03:06:20,365 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/788.out' 2024-01-19 03:06:20,366 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/789.bin' 2024-01-19 03:06:20,369 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/789.out' 2024-01-19 03:06:20,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/79.bin' 2024-01-19 03:06:20,373 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/79.out' 2024-01-19 03:06:20,374 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/790.bin' 2024-01-19 03:06:20,378 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/790.out' 2024-01-19 03:06:20,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/791.bin' 2024-01-19 03:06:20,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/791.out' 2024-01-19 03:06:20,383 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/792.bin' 2024-01-19 03:06:20,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/792.out' 2024-01-19 03:06:20,387 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/793.bin' 2024-01-19 03:06:20,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/793.out' 2024-01-19 03:06:20,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/794.bin' 2024-01-19 03:06:20,395 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/794.out' 2024-01-19 03:06:20,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/795.bin' 2024-01-19 03:06:20,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/795.out' 2024-01-19 03:06:20,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/796.bin' 2024-01-19 03:06:20,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/796.out' 2024-01-19 03:06:20,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/797.bin' 2024-01-19 03:06:20,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/797.out' 2024-01-19 03:06:20,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/798.bin' 2024-01-19 03:06:20,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/798.out' 2024-01-19 03:06:20,413 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/799.bin' 2024-01-19 03:06:20,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/799.out' 2024-01-19 03:06:20,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/8.bin' 2024-01-19 03:06:20,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/8.out' 2024-01-19 03:06:20,422 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/80.bin' 2024-01-19 03:06:20,425 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/80.out' 2024-01-19 03:06:20,426 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/800.bin' 2024-01-19 03:06:20,429 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/800.out' 2024-01-19 03:06:20,430 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/801.bin' 2024-01-19 03:06:20,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/801.out' 2024-01-19 03:06:20,434 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/802.bin' 2024-01-19 03:06:20,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/802.out' 2024-01-19 03:06:20,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/803.bin' 2024-01-19 03:06:20,442 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/803.out' 2024-01-19 03:06:20,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/804.bin' 2024-01-19 03:06:20,446 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/804.out' 2024-01-19 03:06:20,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/805.bin' 2024-01-19 03:06:20,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/805.out' 2024-01-19 03:06:20,451 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/806.bin' 2024-01-19 03:06:20,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/806.out' 2024-01-19 03:06:20,456 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/807.bin' 2024-01-19 03:06:20,459 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/807.out' 2024-01-19 03:06:20,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/808.bin' 2024-01-19 03:06:20,463 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/808.out' 2024-01-19 03:06:20,464 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/809.bin' 2024-01-19 03:06:20,467 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/809.out' 2024-01-19 03:06:20,468 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/81.bin' 2024-01-19 03:06:20,472 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/81.out' 2024-01-19 03:06:20,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/810.bin' 2024-01-19 03:06:20,476 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/810.out' 2024-01-19 03:06:20,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/811.bin' 2024-01-19 03:06:20,480 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/811.out' 2024-01-19 03:06:20,481 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/812.bin' 2024-01-19 03:06:20,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/812.out' 2024-01-19 03:06:20,486 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/813.bin' 2024-01-19 03:06:20,489 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/813.out' 2024-01-19 03:06:20,490 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/814.bin' 2024-01-19 03:06:20,493 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/814.out' 2024-01-19 03:06:20,494 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/815.bin' 2024-01-19 03:06:20,497 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/815.out' 2024-01-19 03:06:20,498 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/816.bin' 2024-01-19 03:06:20,501 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/816.out' 2024-01-19 03:06:20,503 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/817.bin' 2024-01-19 03:06:20,506 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/817.out' 2024-01-19 03:06:20,507 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/818.bin' 2024-01-19 03:06:20,510 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/818.out' 2024-01-19 03:06:20,511 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/819.bin' 2024-01-19 03:06:20,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/819.out' 2024-01-19 03:06:20,515 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/82.bin' 2024-01-19 03:06:20,519 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/82.out' 2024-01-19 03:06:20,520 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/820.bin' 2024-01-19 03:06:20,523 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/820.out' 2024-01-19 03:06:20,524 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/821.bin' 2024-01-19 03:06:20,527 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/821.out' 2024-01-19 03:06:20,528 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/822.bin' 2024-01-19 03:06:20,531 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/822.out' 2024-01-19 03:06:20,533 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/823.bin' 2024-01-19 03:06:20,536 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/823.out' 2024-01-19 03:06:20,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/824.bin' 2024-01-19 03:06:20,540 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/824.out' 2024-01-19 03:06:20,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/825.bin' 2024-01-19 03:06:20,544 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/825.out' 2024-01-19 03:06:20,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/826.bin' 2024-01-19 03:06:20,548 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/826.out' 2024-01-19 03:06:20,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/827.bin' 2024-01-19 03:06:20,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/827.out' 2024-01-19 03:06:20,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/828.bin' 2024-01-19 03:06:20,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/828.out' 2024-01-19 03:06:20,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/829.bin' 2024-01-19 03:06:20,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/829.out' 2024-01-19 03:06:20,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/83.bin' 2024-01-19 03:06:20,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/83.out' 2024-01-19 03:06:20,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/830.bin' 2024-01-19 03:06:20,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/830.out' 2024-01-19 03:06:20,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/831.bin' 2024-01-19 03:06:20,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/831.out' 2024-01-19 03:06:20,576 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/832.bin' 2024-01-19 03:06:20,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/832.out' 2024-01-19 03:06:20,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/833.bin' 2024-01-19 03:06:20,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/833.out' 2024-01-19 03:06:20,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/834.bin' 2024-01-19 03:06:20,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/834.out' 2024-01-19 03:06:20,589 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/835.bin' 2024-01-19 03:06:20,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/835.out' 2024-01-19 03:06:20,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/836.bin' 2024-01-19 03:06:20,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/836.out' 2024-01-19 03:06:20,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/837.bin' 2024-01-19 03:06:20,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/837.out' 2024-01-19 03:06:20,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/838.bin' 2024-01-19 03:06:20,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/838.out' 2024-01-19 03:06:20,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/839.bin' 2024-01-19 03:06:20,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/839.out' 2024-01-19 03:06:20,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/84.bin' 2024-01-19 03:06:20,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/84.out' 2024-01-19 03:06:20,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/840.bin' 2024-01-19 03:06:20,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/840.out' 2024-01-19 03:06:20,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/841.bin' 2024-01-19 03:06:20,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/841.out' 2024-01-19 03:06:20,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/842.bin' 2024-01-19 03:06:20,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/842.out' 2024-01-19 03:06:20,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/843.bin' 2024-01-19 03:06:20,630 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/843.out' 2024-01-19 03:06:20,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/844.bin' 2024-01-19 03:06:20,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/844.out' 2024-01-19 03:06:20,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/845.bin' 2024-01-19 03:06:20,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/845.out' 2024-01-19 03:06:20,640 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/846.bin' 2024-01-19 03:06:20,643 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/846.out' 2024-01-19 03:06:20,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/847.bin' 2024-01-19 03:06:20,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/847.out' 2024-01-19 03:06:20,649 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/848.bin' 2024-01-19 03:06:20,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/848.out' 2024-01-19 03:06:20,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/849.bin' 2024-01-19 03:06:20,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/849.out' 2024-01-19 03:06:20,657 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/85.bin' 2024-01-19 03:06:20,660 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/85.out' 2024-01-19 03:06:20,661 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/850.bin' 2024-01-19 03:06:20,664 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/850.out' 2024-01-19 03:06:20,665 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/851.bin' 2024-01-19 03:06:20,669 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/851.out' 2024-01-19 03:06:20,670 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/852.bin' 2024-01-19 03:06:20,673 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/852.out' 2024-01-19 03:06:20,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/853.bin' 2024-01-19 03:06:20,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/853.out' 2024-01-19 03:06:20,678 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/854.bin' 2024-01-19 03:06:20,681 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/854.out' 2024-01-19 03:06:20,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/855.bin' 2024-01-19 03:06:20,686 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/855.out' 2024-01-19 03:06:20,687 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/856.bin' 2024-01-19 03:06:20,690 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/856.out' 2024-01-19 03:06:20,691 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/857.bin' 2024-01-19 03:06:20,694 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/857.out' 2024-01-19 03:06:20,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/858.bin' 2024-01-19 03:06:20,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/858.out' 2024-01-19 03:06:20,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/859.bin' 2024-01-19 03:06:20,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/859.out' 2024-01-19 03:06:20,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/86.bin' 2024-01-19 03:06:20,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/86.out' 2024-01-19 03:06:20,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/860.bin' 2024-01-19 03:06:20,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/860.out' 2024-01-19 03:06:20,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/861.bin' 2024-01-19 03:06:20,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/861.out' 2024-01-19 03:06:20,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/862.bin' 2024-01-19 03:06:20,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/862.out' 2024-01-19 03:06:20,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/863.bin' 2024-01-19 03:06:20,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/863.out' 2024-01-19 03:06:20,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/864.bin' 2024-01-19 03:06:20,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/864.out' 2024-01-19 03:06:20,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/865.bin' 2024-01-19 03:06:20,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/865.out' 2024-01-19 03:06:20,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/866.bin' 2024-01-19 03:06:20,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/866.out' 2024-01-19 03:06:20,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/867.bin' 2024-01-19 03:06:20,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/867.out' 2024-01-19 03:06:20,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/868.bin' 2024-01-19 03:06:20,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/868.out' 2024-01-19 03:06:20,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/869.bin' 2024-01-19 03:06:20,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/869.out' 2024-01-19 03:06:20,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/87.bin' 2024-01-19 03:06:20,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/87.out' 2024-01-19 03:06:20,755 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/870.bin' 2024-01-19 03:06:20,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/870.out' 2024-01-19 03:06:20,759 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/871.bin' 2024-01-19 03:06:20,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/871.out' 2024-01-19 03:06:20,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/872.bin' 2024-01-19 03:06:20,767 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/872.out' 2024-01-19 03:06:20,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/873.bin' 2024-01-19 03:06:20,771 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/873.out' 2024-01-19 03:06:20,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/874.bin' 2024-01-19 03:06:20,775 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/874.out' 2024-01-19 03:06:20,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/875.bin' 2024-01-19 03:06:20,780 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/875.out' 2024-01-19 03:06:20,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/876.bin' 2024-01-19 03:06:20,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/876.out' 2024-01-19 03:06:20,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/877.bin' 2024-01-19 03:06:20,788 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/877.out' 2024-01-19 03:06:20,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/878.bin' 2024-01-19 03:06:20,792 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/878.out' 2024-01-19 03:06:20,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/879.bin' 2024-01-19 03:06:20,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/879.out' 2024-01-19 03:06:20,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/88.bin' 2024-01-19 03:06:20,801 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/88.out' 2024-01-19 03:06:20,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/880.bin' 2024-01-19 03:06:20,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/880.out' 2024-01-19 03:06:20,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/881.bin' 2024-01-19 03:06:20,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/881.out' 2024-01-19 03:06:20,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/882.bin' 2024-01-19 03:06:20,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/882.out' 2024-01-19 03:06:20,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/883.bin' 2024-01-19 03:06:20,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/883.out' 2024-01-19 03:06:20,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/884.bin' 2024-01-19 03:06:20,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/884.out' 2024-01-19 03:06:20,824 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/885.bin' 2024-01-19 03:06:20,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/885.out' 2024-01-19 03:06:20,828 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/886.bin' 2024-01-19 03:06:20,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/886.out' 2024-01-19 03:06:20,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/887.bin' 2024-01-19 03:06:20,835 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/887.out' 2024-01-19 03:06:20,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/888.bin' 2024-01-19 03:06:20,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/888.out' 2024-01-19 03:06:20,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/889.bin' 2024-01-19 03:06:20,844 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/889.out' 2024-01-19 03:06:20,845 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/89.bin' 2024-01-19 03:06:20,848 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/89.out' 2024-01-19 03:06:20,849 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/890.bin' 2024-01-19 03:06:20,852 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/890.out' 2024-01-19 03:06:20,853 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/891.bin' 2024-01-19 03:06:20,857 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/891.out' 2024-01-19 03:06:20,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/892.bin' 2024-01-19 03:06:20,861 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/892.out' 2024-01-19 03:06:20,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/893.bin' 2024-01-19 03:06:20,865 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/893.out' 2024-01-19 03:06:20,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/894.bin' 2024-01-19 03:06:20,869 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/894.out' 2024-01-19 03:06:20,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/895.bin' 2024-01-19 03:06:20,874 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/895.out' 2024-01-19 03:06:20,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/896.bin' 2024-01-19 03:06:20,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/896.out' 2024-01-19 03:06:20,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/897.bin' 2024-01-19 03:06:20,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/897.out' 2024-01-19 03:06:20,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/898.bin' 2024-01-19 03:06:20,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/898.out' 2024-01-19 03:06:20,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/899.bin' 2024-01-19 03:06:20,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/899.out' 2024-01-19 03:06:20,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/9.bin' 2024-01-19 03:06:20,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/9.out' 2024-01-19 03:06:20,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/90.bin' 2024-01-19 03:06:20,899 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/90.out' 2024-01-19 03:06:20,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/900.bin' 2024-01-19 03:06:20,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/900.out' 2024-01-19 03:06:20,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/901.bin' 2024-01-19 03:06:20,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/901.out' 2024-01-19 03:06:20,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/902.bin' 2024-01-19 03:06:20,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/902.out' 2024-01-19 03:06:20,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/903.bin' 2024-01-19 03:06:20,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/903.out' 2024-01-19 03:06:20,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/904.bin' 2024-01-19 03:06:20,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/904.out' 2024-01-19 03:06:20,921 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/905.bin' 2024-01-19 03:06:20,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/905.out' 2024-01-19 03:06:20,926 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/906.bin' 2024-01-19 03:06:20,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/906.out' 2024-01-19 03:06:20,930 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/907.bin' 2024-01-19 03:06:20,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/907.out' 2024-01-19 03:06:20,934 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/908.bin' 2024-01-19 03:06:20,937 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/908.out' 2024-01-19 03:06:20,938 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/909.bin' 2024-01-19 03:06:20,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/909.out' 2024-01-19 03:06:20,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/91.bin' 2024-01-19 03:06:20,946 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/91.out' 2024-01-19 03:06:20,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/910.bin' 2024-01-19 03:06:20,950 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/910.out' 2024-01-19 03:06:20,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/911.bin' 2024-01-19 03:06:20,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/911.out' 2024-01-19 03:06:20,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/912.bin' 2024-01-19 03:06:20,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/912.out' 2024-01-19 03:06:20,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/913.bin' 2024-01-19 03:06:20,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/913.out' 2024-01-19 03:06:20,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/914.bin' 2024-01-19 03:06:20,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/914.out' 2024-01-19 03:06:20,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/915.bin' 2024-01-19 03:06:20,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/915.out' 2024-01-19 03:06:20,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/916.bin' 2024-01-19 03:06:20,975 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/916.out' 2024-01-19 03:06:20,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/917.bin' 2024-01-19 03:06:20,980 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/917.out' 2024-01-19 03:06:20,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/918.bin' 2024-01-19 03:06:20,984 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/918.out' 2024-01-19 03:06:20,985 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/919.bin' 2024-01-19 03:06:20,988 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/919.out' 2024-01-19 03:06:20,989 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/92.bin' 2024-01-19 03:06:20,992 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/92.out' 2024-01-19 03:06:20,994 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/920.bin' 2024-01-19 03:06:20,997 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/920.out' 2024-01-19 03:06:20,998 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/921.bin' 2024-01-19 03:06:21,001 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/921.out' 2024-01-19 03:06:21,002 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/922.bin' 2024-01-19 03:06:21,005 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/922.out' 2024-01-19 03:06:21,006 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/923.bin' 2024-01-19 03:06:21,009 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/923.out' 2024-01-19 03:06:21,011 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/924.bin' 2024-01-19 03:06:21,014 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/924.out' 2024-01-19 03:06:21,015 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/925.bin' 2024-01-19 03:06:21,018 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/925.out' 2024-01-19 03:06:21,019 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/926.bin' 2024-01-19 03:06:21,022 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/926.out' 2024-01-19 03:06:21,024 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/927.bin' 2024-01-19 03:06:21,027 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/927.out' 2024-01-19 03:06:21,028 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/928.bin' 2024-01-19 03:06:21,031 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/928.out' 2024-01-19 03:06:21,032 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/929.bin' 2024-01-19 03:06:21,035 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/929.out' 2024-01-19 03:06:21,036 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/93.bin' 2024-01-19 03:06:21,040 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/93.out' 2024-01-19 03:06:21,041 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/930.bin' 2024-01-19 03:06:21,044 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/930.out' 2024-01-19 03:06:21,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/931.bin' 2024-01-19 03:06:21,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/931.out' 2024-01-19 03:06:21,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/932.bin' 2024-01-19 03:06:21,053 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/932.out' 2024-01-19 03:06:21,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/933.bin' 2024-01-19 03:06:21,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/933.out' 2024-01-19 03:06:21,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/934.bin' 2024-01-19 03:06:21,061 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/934.out' 2024-01-19 03:06:21,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/935.bin' 2024-01-19 03:06:21,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/935.out' 2024-01-19 03:06:21,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/936.bin' 2024-01-19 03:06:21,070 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/936.out' 2024-01-19 03:06:21,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/937.bin' 2024-01-19 03:06:21,074 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/937.out' 2024-01-19 03:06:21,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/938.bin' 2024-01-19 03:06:21,078 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/938.out' 2024-01-19 03:06:21,080 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/939.bin' 2024-01-19 03:06:21,083 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/939.out' 2024-01-19 03:06:21,084 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/94.bin' 2024-01-19 03:06:21,087 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/94.out' 2024-01-19 03:06:21,088 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/940.bin' 2024-01-19 03:06:21,091 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/940.out' 2024-01-19 03:06:21,092 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/941.bin' 2024-01-19 03:06:21,095 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/941.out' 2024-01-19 03:06:21,097 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/942.bin' 2024-01-19 03:06:21,100 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/942.out' 2024-01-19 03:06:21,101 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/943.bin' 2024-01-19 03:06:21,104 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/943.out' 2024-01-19 03:06:21,105 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/944.bin' 2024-01-19 03:06:21,108 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/944.out' 2024-01-19 03:06:21,109 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/945.bin' 2024-01-19 03:06:21,112 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/945.out' 2024-01-19 03:06:21,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/946.bin' 2024-01-19 03:06:21,117 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/946.out' 2024-01-19 03:06:21,118 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/947.bin' 2024-01-19 03:06:21,121 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/947.out' 2024-01-19 03:06:21,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/948.bin' 2024-01-19 03:06:21,125 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/948.out' 2024-01-19 03:06:21,126 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/949.bin' 2024-01-19 03:06:21,129 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/949.out' 2024-01-19 03:06:21,131 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/95.bin' 2024-01-19 03:06:21,134 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/95.out' 2024-01-19 03:06:21,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/950.bin' 2024-01-19 03:06:21,138 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/950.out' 2024-01-19 03:06:21,139 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/951.bin' 2024-01-19 03:06:21,142 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/951.out' 2024-01-19 03:06:21,143 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/952.bin' 2024-01-19 03:06:21,146 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/952.out' 2024-01-19 03:06:21,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/953.bin' 2024-01-19 03:06:21,151 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/953.out' 2024-01-19 03:06:21,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/954.bin' 2024-01-19 03:06:21,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/954.out' 2024-01-19 03:06:21,156 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/955.bin' 2024-01-19 03:06:21,159 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/955.out' 2024-01-19 03:06:21,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/956.bin' 2024-01-19 03:06:21,163 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/956.out' 2024-01-19 03:06:21,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/957.bin' 2024-01-19 03:06:21,167 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/957.out' 2024-01-19 03:06:21,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/958.bin' 2024-01-19 03:06:21,172 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/958.out' 2024-01-19 03:06:21,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/959.bin' 2024-01-19 03:06:21,176 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/959.out' 2024-01-19 03:06:21,177 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/96.bin' 2024-01-19 03:06:21,180 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/96.out' 2024-01-19 03:06:21,181 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/960.bin' 2024-01-19 03:06:21,184 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/960.out' 2024-01-19 03:06:21,186 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/961.bin' 2024-01-19 03:06:21,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/961.out' 2024-01-19 03:06:21,190 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/962.bin' 2024-01-19 03:06:21,193 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/962.out' 2024-01-19 03:06:21,194 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/963.bin' 2024-01-19 03:06:21,197 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/963.out' 2024-01-19 03:06:21,198 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/964.bin' 2024-01-19 03:06:21,201 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/964.out' 2024-01-19 03:06:21,203 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/965.bin' 2024-01-19 03:06:21,206 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/965.out' 2024-01-19 03:06:21,207 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/966.bin' 2024-01-19 03:06:21,210 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/966.out' 2024-01-19 03:06:21,211 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/967.bin' 2024-01-19 03:06:21,214 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/967.out' 2024-01-19 03:06:21,215 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/968.bin' 2024-01-19 03:06:21,218 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/968.out' 2024-01-19 03:06:21,219 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/969.bin' 2024-01-19 03:06:21,222 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/969.out' 2024-01-19 03:06:21,224 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/97.bin' 2024-01-19 03:06:21,227 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/97.out' 2024-01-19 03:06:21,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/970.bin' 2024-01-19 03:06:21,231 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/970.out' 2024-01-19 03:06:21,232 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/971.bin' 2024-01-19 03:06:21,235 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/971.out' 2024-01-19 03:06:21,236 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/972.bin' 2024-01-19 03:06:21,239 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/972.out' 2024-01-19 03:06:21,240 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/973.bin' 2024-01-19 03:06:21,244 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/973.out' 2024-01-19 03:06:21,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/974.bin' 2024-01-19 03:06:21,248 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/974.out' 2024-01-19 03:06:21,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/975.bin' 2024-01-19 03:06:21,252 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/975.out' 2024-01-19 03:06:21,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/976.bin' 2024-01-19 03:06:21,256 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/976.out' 2024-01-19 03:06:21,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/977.bin' 2024-01-19 03:06:21,260 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/977.out' 2024-01-19 03:06:21,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/978.bin' 2024-01-19 03:06:21,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/978.out' 2024-01-19 03:06:21,266 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/979.bin' 2024-01-19 03:06:21,269 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/979.out' 2024-01-19 03:06:21,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/98.bin' 2024-01-19 03:06:21,273 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/98.out' 2024-01-19 03:06:21,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/980.bin' 2024-01-19 03:06:21,277 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/980.out' 2024-01-19 03:06:21,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/981.bin' 2024-01-19 03:06:21,282 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/981.out' 2024-01-19 03:06:21,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/982.bin' 2024-01-19 03:06:21,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/982.out' 2024-01-19 03:06:21,287 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/983.bin' 2024-01-19 03:06:21,290 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/983.out' 2024-01-19 03:06:21,291 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/984.bin' 2024-01-19 03:06:21,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/984.out' 2024-01-19 03:06:21,295 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/985.bin' 2024-01-19 03:06:21,299 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/985.out' 2024-01-19 03:06:21,300 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/986.bin' 2024-01-19 03:06:21,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/986.out' 2024-01-19 03:06:21,304 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/987.bin' 2024-01-19 03:06:21,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/987.out' 2024-01-19 03:06:21,308 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/988.bin' 2024-01-19 03:06:21,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/988.out' 2024-01-19 03:06:21,312 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/989.bin' 2024-01-19 03:06:21,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/989.out' 2024-01-19 03:06:21,317 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/99.bin' 2024-01-19 03:06:21,320 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/99.out' 2024-01-19 03:06:21,321 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/990.bin' 2024-01-19 03:06:21,324 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/990.out' 2024-01-19 03:06:21,325 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/991.bin' 2024-01-19 03:06:21,328 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/991.out' 2024-01-19 03:06:21,329 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/992.bin' 2024-01-19 03:06:21,332 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/992.out' 2024-01-19 03:06:21,333 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/993.bin' 2024-01-19 03:06:21,337 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/993.out' 2024-01-19 03:06:21,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/994.bin' 2024-01-19 03:06:21,341 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/994.out' 2024-01-19 03:06:21,342 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/995.bin' 2024-01-19 03:06:21,345 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/995.out' 2024-01-19 03:06:21,346 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/996.bin' 2024-01-19 03:06:21,349 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/996.out' 2024-01-19 03:06:21,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/997.bin' 2024-01-19 03:06:21,354 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/997.out' 2024-01-19 03:06:21,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/998.bin' 2024-01-19 03:06:21,358 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/998.out' 2024-01-19 03:06:21,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/999.bin' 2024-01-19 03:06:21,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/999.out' 2024-01-19 03:06:21,363 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/Makefile.test' 2024-01-19 03:06:21,364 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/micropython.bin' 2024-01-19 03:06:21,369 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin' 2024-01-19 03:06:21,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out' 2024-01-19 03:06:21,371 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue' 2024-01-19 03:06:21,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin' 2024-01-19 03:06:21,373 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out' 2024-01-19 03:06:21,374 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue' 2024-01-19 03:06:21,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin' 2024-01-19 03:06:21,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out' 2024-01-19 03:06:21,377 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue' 2024-01-19 03:06:21,378 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin' 2024-01-19 03:06:21,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out' 2024-01-19 03:06:21,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue' 2024-01-19 03:06:21,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.bin' 2024-01-19 03:06:21,381 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out' 2024-01-19 03:06:21,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue' 2024-01-19 03:06:21,383 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin' 2024-01-19 03:06:21,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out' 2024-01-19 03:06:21,385 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue' 2024-01-19 03:06:21,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.bin' 2024-01-19 03:06:21,387 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out' 2024-01-19 03:06:21,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue' 2024-01-19 03:06:21,389 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin' 2024-01-19 03:06:21,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out' 2024-01-19 03:06:21,391 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue' 2024-01-19 03:06:21,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin' 2024-01-19 03:06:21,393 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out' 2024-01-19 03:06:21,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue' 2024-01-19 03:06:21,395 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin' 2024-01-19 03:06:21,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out' 2024-01-19 03:06:21,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue' 2024-01-19 03:06:21,397 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.bin' 2024-01-19 03:06:21,398 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out' 2024-01-19 03:06:21,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue' 2024-01-19 03:06:21,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin' 2024-01-19 03:06:21,401 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out' 2024-01-19 03:06:21,402 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue' 2024-01-19 03:06:21,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.bin' 2024-01-19 03:06:21,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out' 2024-01-19 03:06:21,405 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue' 2024-01-19 03:06:21,406 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.bin' 2024-01-19 03:06:21,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out' 2024-01-19 03:06:21,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue' 2024-01-19 03:06:21,408 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/update_console_tests' 2024-01-19 03:06:21,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile' 2024-01-19 03:06:21,410 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c' 2024-01-19 03:06:21,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S' 2024-01-19 03:06:21,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds' 2024-01-19 03:06:21,413 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile' 2024-01-19 03:06:21,414 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c' 2024-01-19 03:06:21,415 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S' 2024-01-19 03:06:21,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds' 2024-01-19 03:06:21,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile' 2024-01-19 03:06:21,418 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c' 2024-01-19 03:06:21,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/head.S' 2024-01-19 03:06:21,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds' 2024-01-19 03:06:21,421 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile' 2024-01-19 03:06:21,422 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/head.S' 2024-01-19 03:06:21,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c' 2024-01-19 03:06:21,424 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds' 2024-01-19 03:06:21,425 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/Makefile' 2024-01-19 03:06:21,426 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/head.S' 2024-01-19 03:06:21,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/misc.c' 2024-01-19 03:06:21,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds' 2024-01-19 03:06:21,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile' 2024-01-19 03:06:21,429 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/head.S' 2024-01-19 03:06:21,430 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c' 2024-01-19 03:06:21,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds' 2024-01-19 03:06:21,432 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/Makefile' 2024-01-19 03:06:21,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/head.S' 2024-01-19 03:06:21,434 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/modes.c' 2024-01-19 03:06:21,435 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds' 2024-01-19 03:06:21,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile' 2024-01-19 03:06:21,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/head.S' 2024-01-19 03:06:21,438 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c' 2024-01-19 03:06:21,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds' 2024-01-19 03:06:21,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile' 2024-01-19 03:06:21,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/head.S' 2024-01-19 03:06:21,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds' 2024-01-19 03:06:21,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c' 2024-01-19 03:06:21,444 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile' 2024-01-19 03:06:21,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/head.S' 2024-01-19 03:06:21,446 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds' 2024-01-19 03:06:21,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c' 2024-01-19 03:06:21,448 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/Makefile' 2024-01-19 03:06:21,448 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/head.S' 2024-01-19 03:06:21,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds' 2024-01-19 03:06:21,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/sc.c' 2024-01-19 03:06:21,451 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile' 2024-01-19 03:06:21,452 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S' 2024-01-19 03:06:21,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds' 2024-01-19 03:06:21,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c' 2024-01-19 03:06:21,455 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/Makefile' 2024-01-19 03:06:21,455 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/head.S' 2024-01-19 03:06:21,456 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds' 2024-01-19 03:06:21,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/trace.c' 2024-01-19 03:06:21,458 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/Makefile' 2024-01-19 03:06:21,459 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/head.S' 2024-01-19 03:06:21,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds' 2024-01-19 03:06:21,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/xics.c' 2024-01-19 03:06:21,462 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/xics.h' 2024-01-19 03:06:21,463 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v' 2024-01-19 03:06:21,464 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core' 2024-01-19 03:06:21,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v' 2024-01-19 03:06:21,466 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v' 2024-01-19 03:06:21,467 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v' 2024-01-19 03:06:21,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v' 2024-01-19 03:06:21,470 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v' 2024-01-19 03:06:21,471 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v' 2024-01-19 03:06:21,472 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v' 2024-01-19 03:06:21,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v' 2024-01-19 03:06:21,474 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v' 2024-01-19 03:06:21,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp' 2024-01-19 03:06:21,476 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c' 2024-01-19 03:06:21,478 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/LICENSE' 2024-01-19 03:06:21,479 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/METADATA' 2024-01-19 03:06:21,479 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/WHEEL' 2024-01-19 03:06:21,480 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/top_level.txt' 2024-01-19 03:06:21,541 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/RECORD' 2024-01-19 03:06:21,594 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:21,707 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-minerva 2024-01-19 03:06:22,783 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:22,970 root INFO running bdist_wheel 2024-01-19 03:06:23,046 root INFO running build 2024-01-19 03:06:23,046 root INFO running build_py 2024-01-19 03:06:23,057 root INFO creating build 2024-01-19 03:06:23,057 root INFO creating build/lib 2024-01-19 03:06:23,058 root INFO creating build/lib/pythondata_cpu_minerva 2024-01-19 03:06:23,058 root INFO copying pythondata_cpu_minerva/__init__.py -> build/lib/pythondata_cpu_minerva 2024-01-19 03:06:23,060 root INFO creating build/lib/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,061 root INFO copying pythondata_cpu_minerva/sources/setup.py -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,062 root INFO copying pythondata_cpu_minerva/sources/cli.py -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,064 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,064 root INFO copying pythondata_cpu_minerva/sources/minerva/stage.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,065 root INFO copying pythondata_cpu_minerva/sources/minerva/core.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,066 root INFO copying pythondata_cpu_minerva/sources/minerva/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,067 root INFO copying pythondata_cpu_minerva/sources/minerva/gpr.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,068 root INFO copying pythondata_cpu_minerva/sources/minerva/cache.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,068 root INFO copying pythondata_cpu_minerva/sources/minerva/csr.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,069 root INFO copying pythondata_cpu_minerva/sources/minerva/isa.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,070 root INFO copying pythondata_cpu_minerva/sources/minerva/mem.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,071 root INFO copying pythondata_cpu_minerva/sources/minerva/wishbone.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,072 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,073 root INFO copying pythondata_cpu_minerva/sources/minerva/test/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,074 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,075 root INFO copying pythondata_cpu_minerva/sources/minerva/test/utils.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,075 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_cache.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,076 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,078 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,079 root INFO copying pythondata_cpu_minerva/sources/minerva/units/shifter.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,079 root INFO copying pythondata_cpu_minerva/sources/minerva/units/divider.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,080 root INFO copying pythondata_cpu_minerva/sources/minerva/units/fetch.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,081 root INFO copying pythondata_cpu_minerva/sources/minerva/units/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,082 root INFO copying pythondata_cpu_minerva/sources/minerva/units/compare.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,083 root INFO copying pythondata_cpu_minerva/sources/minerva/units/loadstore.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,083 root INFO copying pythondata_cpu_minerva/sources/minerva/units/exception.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,084 root INFO copying pythondata_cpu_minerva/sources/minerva/units/logic.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,085 root INFO copying pythondata_cpu_minerva/sources/minerva/units/adder.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,086 root INFO copying pythondata_cpu_minerva/sources/minerva/units/predict.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,087 root INFO copying pythondata_cpu_minerva/sources/minerva/units/trigger.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,087 root INFO copying pythondata_cpu_minerva/sources/minerva/units/multiplier.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,088 root INFO copying pythondata_cpu_minerva/sources/minerva/units/decoder.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,089 root INFO copying pythondata_cpu_minerva/sources/minerva/units/rvficon.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,091 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,091 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/top.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,092 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/controller.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,093 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,094 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,094 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,095 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,096 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,097 root INFO running egg_info 2024-01-19 03:06:23,097 root INFO creating pythondata_cpu_minerva.egg-info 2024-01-19 03:06:23,104 root INFO writing pythondata_cpu_minerva.egg-info/PKG-INFO 2024-01-19 03:06:23,107 root INFO writing dependency_links to pythondata_cpu_minerva.egg-info/dependency_links.txt 2024-01-19 03:06:23,108 root INFO writing top-level names to pythondata_cpu_minerva.egg-info/top_level.txt 2024-01-19 03:06:23,109 root INFO writing manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-01-19 03:06:23,124 root INFO reading manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-01-19 03:06:23,126 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:23,131 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:23,131 root INFO adding license file 'LICENSE' 2024-01-19 03:06:23,139 root INFO writing manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-01-19 03:06:23,144 root INFO copying pythondata_cpu_minerva/sources/.gitignore -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,144 root INFO copying pythondata_cpu_minerva/sources/LICENSE.txt -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,145 root INFO copying pythondata_cpu_minerva/sources/README.md -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,173 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:23,173 root INFO running install 2024-01-19 03:06:23,235 root INFO running install_lib 2024-01-19 03:06:23,244 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:23,244 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:23,245 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva 2024-01-19 03:06:23,245 root INFO copying build/lib/pythondata_cpu_minerva/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva 2024-01-19 03:06:23,246 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,246 root INFO copying build/lib/pythondata_cpu_minerva/sources/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,247 root INFO copying build/lib/pythondata_cpu_minerva/sources/setup.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,248 root INFO copying build/lib/pythondata_cpu_minerva/sources/cli.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,249 root INFO copying build/lib/pythondata_cpu_minerva/sources/LICENSE.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,250 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,250 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/stage.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,251 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/core.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,252 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,252 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/gpr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,253 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/cache.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,254 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/csr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,254 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/isa.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,255 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,256 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,256 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,257 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,258 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_cache.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,258 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 03:06:23,259 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/mem.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,260 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/wishbone.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 03:06:23,260 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,261 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/shifter.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,262 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,262 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/top.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,263 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/controller.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,263 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,264 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,265 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,266 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,266 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 03:06:23,267 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/divider.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,268 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/fetch.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,268 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,269 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,270 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/loadstore.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,270 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/exception.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,271 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/logic.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,272 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/adder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,272 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/predict.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,273 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/trigger.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,274 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/multiplier.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,274 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/decoder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,275 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/rvficon.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 03:06:23,276 root INFO copying build/lib/pythondata_cpu_minerva/sources/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-01-19 03:06:23,276 root INFO running install_egg_info 2024-01-19 03:06:23,292 root INFO Copying pythondata_cpu_minerva.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva-0.0.post262-py3.11.egg-info 2024-01-19 03:06:23,296 root INFO running install_scripts 2024-01-19 03:06:23,304 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva-0.0.post262.dist-info/WHEEL 2024-01-19 03:06:23,307 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-5737kkkn/pythondata_cpu_minerva-0.0.post262-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:23,309 wheel INFO adding 'pythondata_cpu_minerva/__init__.py' 2024-01-19 03:06:23,313 wheel INFO adding 'pythondata_cpu_minerva/sources/.gitignore' 2024-01-19 03:06:23,314 wheel INFO adding 'pythondata_cpu_minerva/sources/LICENSE.txt' 2024-01-19 03:06:23,315 wheel INFO adding 'pythondata_cpu_minerva/sources/README.md' 2024-01-19 03:06:23,316 wheel INFO adding 'pythondata_cpu_minerva/sources/cli.py' 2024-01-19 03:06:23,317 wheel INFO adding 'pythondata_cpu_minerva/sources/setup.py' 2024-01-19 03:06:23,318 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/__init__.py' 2024-01-19 03:06:23,320 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/cache.py' 2024-01-19 03:06:23,321 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/core.py' 2024-01-19 03:06:23,322 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/csr.py' 2024-01-19 03:06:23,323 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/gpr.py' 2024-01-19 03:06:23,324 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/isa.py' 2024-01-19 03:06:23,325 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/mem.py' 2024-01-19 03:06:23,326 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/stage.py' 2024-01-19 03:06:23,327 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/wishbone.py' 2024-01-19 03:06:23,328 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/__init__.py' 2024-01-19 03:06:23,329 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_cache.py' 2024-01-19 03:06:23,330 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py' 2024-01-19 03:06:23,331 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py' 2024-01-19 03:06:23,332 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/utils.py' 2024-01-19 03:06:23,333 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/__init__.py' 2024-01-19 03:06:23,334 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/adder.py' 2024-01-19 03:06:23,335 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/compare.py' 2024-01-19 03:06:23,336 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/decoder.py' 2024-01-19 03:06:23,337 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/divider.py' 2024-01-19 03:06:23,338 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/exception.py' 2024-01-19 03:06:23,339 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/fetch.py' 2024-01-19 03:06:23,340 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/loadstore.py' 2024-01-19 03:06:23,341 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/logic.py' 2024-01-19 03:06:23,342 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/multiplier.py' 2024-01-19 03:06:23,343 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/predict.py' 2024-01-19 03:06:23,344 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/rvficon.py' 2024-01-19 03:06:23,345 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/shifter.py' 2024-01-19 03:06:23,346 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/trigger.py' 2024-01-19 03:06:23,347 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py' 2024-01-19 03:06:23,348 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/controller.py' 2024-01-19 03:06:23,349 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py' 2024-01-19 03:06:23,350 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py' 2024-01-19 03:06:23,350 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py' 2024-01-19 03:06:23,351 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/top.py' 2024-01-19 03:06:23,352 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py' 2024-01-19 03:06:23,354 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/LICENSE' 2024-01-19 03:06:23,355 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/METADATA' 2024-01-19 03:06:23,355 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/WHEEL' 2024-01-19 03:06:23,356 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/top_level.txt' 2024-01-19 03:06:23,359 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/RECORD' 2024-01-19 03:06:23,360 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:23,364 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_minerva-0.0.post262-py3-none-any.whl pythondata_cpu_minerva-0.0.post262-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-mor1kx 2024-01-19 03:06:24,440 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:24,627 root INFO running bdist_wheel 2024-01-19 03:06:24,703 root INFO running build 2024-01-19 03:06:24,703 root INFO running build_py 2024-01-19 03:06:24,714 root INFO creating build 2024-01-19 03:06:24,714 root INFO creating build/lib 2024-01-19 03:06:24,715 root INFO creating build/lib/pythondata_cpu_mor1kx 2024-01-19 03:06:24,715 root INFO copying pythondata_cpu_mor1kx/__init__.py -> build/lib/pythondata_cpu_mor1kx 2024-01-19 03:06:24,720 root INFO running egg_info 2024-01-19 03:06:24,721 root INFO creating pythondata_cpu_mor1kx.egg-info 2024-01-19 03:06:24,728 root INFO writing pythondata_cpu_mor1kx.egg-info/PKG-INFO 2024-01-19 03:06:24,730 root INFO writing dependency_links to pythondata_cpu_mor1kx.egg-info/dependency_links.txt 2024-01-19 03:06:24,731 root INFO writing top-level names to pythondata_cpu_mor1kx.egg-info/top_level.txt 2024-01-19 03:06:24,732 root INFO writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-01-19 03:06:24,747 root INFO reading manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-01-19 03:06:24,748 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:24,755 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:24,763 root INFO writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-01-19 03:06:24,776 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,777 root INFO copying pythondata_cpu_mor1kx/verilog/.gitignore -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,778 root INFO copying pythondata_cpu_mor1kx/verilog/.travis.yml -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,779 root INFO copying pythondata_cpu_mor1kx/verilog/Jenkinsfile -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,779 root INFO copying pythondata_cpu_mor1kx/verilog/LICENSE -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,780 root INFO copying pythondata_cpu_mor1kx/verilog/README.md -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,781 root INFO copying pythondata_cpu_mor1kx/verilog/mor1kx.core -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,782 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,783 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,783 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,784 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/test.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,785 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,785 root INFO copying pythondata_cpu_mor1kx/verilog/doc/Makefile -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,786 root INFO copying pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,787 root INFO copying pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,788 root INFO copying pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,789 root INFO copying pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,790 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/bench 2024-01-19 03:06:24,790 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 03:06:24,790 root INFO copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 03:06:24,791 root INFO copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 03:06:24,792 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl 2024-01-19 03:06:24,792 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,793 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,794 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,794 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,795 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,796 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,797 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,798 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,798 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,799 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,800 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,801 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,802 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,803 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,804 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,805 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,806 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,807 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,808 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,809 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,810 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,811 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,811 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,812 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,813 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,814 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,815 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,815 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,816 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,817 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,818 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,819 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,819 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,820 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,821 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,822 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,822 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,823 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,824 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,825 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,825 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,826 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,827 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,828 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,828 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,829 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,830 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,830 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,831 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,832 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,833 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,858 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:24,859 root INFO running install 2024-01-19 03:06:24,922 root INFO running install_lib 2024-01-19 03:06:24,931 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:24,931 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:24,932 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx 2024-01-19 03:06:24,932 root INFO copying build/lib/pythondata_cpu_mor1kx/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx 2024-01-19 03:06:24,933 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,934 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,934 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,935 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,936 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/mor1kx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,936 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,937 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench 2024-01-19 03:06:24,938 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 03:06:24,938 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 03:06:24,939 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 03:06:24,940 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl 2024-01-19 03:06:24,940 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,941 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,941 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,942 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,943 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,943 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,944 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,945 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,946 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,946 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,947 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,948 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,948 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,949 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,950 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,951 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,951 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,952 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,952 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,953 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,954 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,955 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,955 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,956 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 03:06:24,957 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,957 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,958 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,959 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,959 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,960 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,961 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,962 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,962 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,963 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,964 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,964 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,965 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,966 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,967 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,967 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,968 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,969 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,969 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,970 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,971 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,972 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,972 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,973 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,974 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,974 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,975 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 03:06:24,976 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,976 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,977 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,977 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 03:06:24,978 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 03:06:24,979 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,979 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,980 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,981 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,981 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,982 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 03:06:24,983 root INFO running install_egg_info 2024-01-19 03:06:24,999 root INFO Copying pythondata_cpu_mor1kx.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx-5.0.post125-py3.11.egg-info 2024-01-19 03:06:25,003 root INFO running install_scripts 2024-01-19 03:06:25,010 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx-5.0.post125.dist-info/WHEEL 2024-01-19 03:06:25,013 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-muc7pjyu/pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:25,016 wheel INFO adding 'pythondata_cpu_mor1kx/__init__.py' 2024-01-19 03:06:25,020 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.gitignore' 2024-01-19 03:06:25,021 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis.yml' 2024-01-19 03:06:25,022 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/Jenkinsfile' 2024-01-19 03:06:25,023 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/LICENSE' 2024-01-19 03:06:25,024 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/README.md' 2024-01-19 03:06:25,025 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/mor1kx.core' 2024-01-19 03:06:25,027 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh' 2024-01-19 03:06:25,027 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh' 2024-01-19 03:06:25,028 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/test.sh' 2024-01-19 03:06:25,029 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v' 2024-01-19 03:06:25,031 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v' 2024-01-19 03:06:25,032 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/Makefile' 2024-01-19 03:06:25,033 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css' 2024-01-19 03:06:25,034 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/docbook.xsl' 2024-01-19 03:06:25,035 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl' 2024-01-19 03:06:25,035 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc' 2024-01-19 03:06:25,037 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v' 2024-01-19 03:06:25,038 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v' 2024-01-19 03:06:25,039 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v' 2024-01-19 03:06:25,041 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v' 2024-01-19 03:06:25,042 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v' 2024-01-19 03:06:25,043 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v' 2024-01-19 03:06:25,043 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v' 2024-01-19 03:06:25,044 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v' 2024-01-19 03:06:25,045 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v' 2024-01-19 03:06:25,046 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v' 2024-01-19 03:06:25,048 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v' 2024-01-19 03:06:25,049 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v' 2024-01-19 03:06:25,052 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v' 2024-01-19 03:06:25,054 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v' 2024-01-19 03:06:25,055 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v' 2024-01-19 03:06:25,057 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v' 2024-01-19 03:06:25,059 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v' 2024-01-19 03:06:25,061 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v' 2024-01-19 03:06:25,062 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v' 2024-01-19 03:06:25,064 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v' 2024-01-19 03:06:25,065 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v' 2024-01-19 03:06:25,066 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v' 2024-01-19 03:06:25,067 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v' 2024-01-19 03:06:25,069 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v' 2024-01-19 03:06:25,070 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v' 2024-01-19 03:06:25,071 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v' 2024-01-19 03:06:25,072 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v' 2024-01-19 03:06:25,073 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v' 2024-01-19 03:06:25,075 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v' 2024-01-19 03:06:25,076 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v' 2024-01-19 03:06:25,077 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v' 2024-01-19 03:06:25,078 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v' 2024-01-19 03:06:25,079 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v' 2024-01-19 03:06:25,080 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v' 2024-01-19 03:06:25,081 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v' 2024-01-19 03:06:25,082 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v' 2024-01-19 03:06:25,083 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v' 2024-01-19 03:06:25,084 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v' 2024-01-19 03:06:25,085 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v' 2024-01-19 03:06:25,086 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh' 2024-01-19 03:06:25,087 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v' 2024-01-19 03:06:25,088 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v' 2024-01-19 03:06:25,089 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v' 2024-01-19 03:06:25,090 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v' 2024-01-19 03:06:25,091 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v' 2024-01-19 03:06:25,093 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v' 2024-01-19 03:06:25,094 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v' 2024-01-19 03:06:25,095 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v' 2024-01-19 03:06:25,096 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v' 2024-01-19 03:06:25,098 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/METADATA' 2024-01-19 03:06:25,099 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/WHEEL' 2024-01-19 03:06:25,099 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/top_level.txt' 2024-01-19 03:06:25,103 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/RECORD' 2024-01-19 03:06:25,105 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:25,110 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-naxriscv 2024-01-19 03:06:26,188 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:26,372 root INFO running bdist_wheel 2024-01-19 03:06:26,447 root INFO running build 2024-01-19 03:06:26,447 root INFO running build_py 2024-01-19 03:06:26,458 root INFO creating build 2024-01-19 03:06:26,459 root INFO creating build/lib 2024-01-19 03:06:26,459 root INFO creating build/lib/pythondata_cpu_naxriscv 2024-01-19 03:06:26,460 root INFO copying pythondata_cpu_naxriscv/__init__.py -> build/lib/pythondata_cpu_naxriscv 2024-01-19 03:06:26,462 root INFO running egg_info 2024-01-19 03:06:26,462 root INFO creating pythondata_cpu_naxriscv.egg-info 2024-01-19 03:06:26,469 root INFO writing pythondata_cpu_naxriscv.egg-info/PKG-INFO 2024-01-19 03:06:26,471 root INFO writing dependency_links to pythondata_cpu_naxriscv.egg-info/dependency_links.txt 2024-01-19 03:06:26,473 root INFO writing top-level names to pythondata_cpu_naxriscv.egg-info/top_level.txt 2024-01-19 03:06:26,473 root INFO writing manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-01-19 03:06:26,484 root INFO reading manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-01-19 03:06:26,485 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:26,488 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:26,492 root INFO writing manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-01-19 03:06:26,496 root INFO creating build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,497 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,497 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,498 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,499 root INFO creating build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,500 root INFO copying pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,500 root INFO copying pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,501 root INFO copying pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,502 root INFO copying pythondata_cpu_naxriscv/verilog/configs/fetch.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,503 root INFO copying pythondata_cpu_naxriscv/verilog/configs/frontend.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,504 root INFO copying pythondata_cpu_naxriscv/verilog/configs/gen.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,505 root INFO copying pythondata_cpu_naxriscv/verilog/configs/lsu.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,505 root INFO copying pythondata_cpu_naxriscv/verilog/configs/misc.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,506 root INFO copying pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,530 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:26,530 root INFO running install 2024-01-19 03:06:26,592 root INFO running install_lib 2024-01-19 03:06:26,601 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:26,601 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:26,602 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv 2024-01-19 03:06:26,602 root INFO copying build/lib/pythondata_cpu_naxriscv/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv 2024-01-19 03:06:26,603 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,604 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,605 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,605 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/fetch.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,606 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,607 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,607 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,608 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/frontend.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,609 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/gen.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,609 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/lsu.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,610 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,611 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/misc.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 03:06:26,612 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,612 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 03:06:26,613 root INFO running install_egg_info 2024-01-19 03:06:26,629 root INFO Copying pythondata_cpu_naxriscv.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv-1.0.1.post325-py3.11.egg-info 2024-01-19 03:06:26,633 root INFO running install_scripts 2024-01-19 03:06:26,639 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv-1.0.1.post325.dist-info/WHEEL 2024-01-19 03:06:26,642 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-nupx2m94/pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:26,645 wheel INFO adding 'pythondata_cpu_naxriscv/__init__.py' 2024-01-19 03:06:26,648 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v' 2024-01-19 03:06:26,649 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v' 2024-01-19 03:06:26,650 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v' 2024-01-19 03:06:26,652 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala' 2024-01-19 03:06:26,652 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala' 2024-01-19 03:06:26,653 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala' 2024-01-19 03:06:26,654 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/fetch.scala' 2024-01-19 03:06:26,655 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/frontend.scala' 2024-01-19 03:06:26,656 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/gen.scala' 2024-01-19 03:06:26,657 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/lsu.scala' 2024-01-19 03:06:26,658 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/misc.scala' 2024-01-19 03:06:26,659 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala' 2024-01-19 03:06:26,660 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/METADATA' 2024-01-19 03:06:26,661 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/WHEEL' 2024-01-19 03:06:26,662 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/top_level.txt' 2024-01-19 03:06:26,664 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/RECORD' 2024-01-19 03:06:26,665 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:26,667 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-picorv32 2024-01-19 03:06:27,764 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:27,955 root INFO running bdist_wheel 2024-01-19 03:06:28,031 root INFO running build 2024-01-19 03:06:28,032 root INFO running build_py 2024-01-19 03:06:28,042 root INFO creating build 2024-01-19 03:06:28,043 root INFO creating build/lib 2024-01-19 03:06:28,043 root INFO creating build/lib/pythondata_cpu_picorv32 2024-01-19 03:06:28,044 root INFO copying pythondata_cpu_picorv32/__init__.py -> build/lib/pythondata_cpu_picorv32 2024-01-19 03:06:28,046 root INFO creating build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,046 root INFO copying pythondata_cpu_picorv32/verilog/showtrace.py -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,049 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,049 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/performance.py -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,051 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,052 root INFO copying pythondata_cpu_picorv32/verilog/firmware/makehex.py -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,056 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts 2024-01-19 03:06:28,057 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,057 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,058 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/config.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,059 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,060 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,061 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,063 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,063 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,065 root INFO running egg_info 2024-01-19 03:06:28,065 root INFO creating pythondata_cpu_picorv32.egg-info 2024-01-19 03:06:28,072 root INFO writing pythondata_cpu_picorv32.egg-info/PKG-INFO 2024-01-19 03:06:28,074 root INFO writing dependency_links to pythondata_cpu_picorv32.egg-info/dependency_links.txt 2024-01-19 03:06:28,076 root INFO writing top-level names to pythondata_cpu_picorv32.egg-info/top_level.txt 2024-01-19 03:06:28,077 root INFO writing manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-01-19 03:06:28,101 root INFO reading manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-01-19 03:06:28,102 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:28,120 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:28,139 root INFO writing manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-01-19 03:06:28,180 root INFO copying pythondata_cpu_picorv32/verilog/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,181 root INFO copying pythondata_cpu_picorv32/verilog/Makefile -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,182 root INFO copying pythondata_cpu_picorv32/verilog/README.md -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,183 root INFO copying pythondata_cpu_picorv32/verilog/picorv32.core -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,184 root INFO copying pythondata_cpu_picorv32/verilog/picorv32.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,185 root INFO copying pythondata_cpu_picorv32/verilog/shell.nix -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,185 root INFO copying pythondata_cpu_picorv32/verilog/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,186 root INFO copying pythondata_cpu_picorv32/verilog/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,187 root INFO copying pythondata_cpu_picorv32/verilog/testbench_ez.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,188 root INFO copying pythondata_cpu_picorv32/verilog/testbench_wb.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,189 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,189 root INFO copying pythondata_cpu_picorv32/verilog/tests/LICENSE -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,190 root INFO copying pythondata_cpu_picorv32/verilog/tests/README -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,191 root INFO copying pythondata_cpu_picorv32/verilog/tests/add.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,192 root INFO copying pythondata_cpu_picorv32/verilog/tests/addi.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,192 root INFO copying pythondata_cpu_picorv32/verilog/tests/and.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,193 root INFO copying pythondata_cpu_picorv32/verilog/tests/andi.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,194 root INFO copying pythondata_cpu_picorv32/verilog/tests/auipc.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,195 root INFO copying pythondata_cpu_picorv32/verilog/tests/beq.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,196 root INFO copying pythondata_cpu_picorv32/verilog/tests/bge.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,196 root INFO copying pythondata_cpu_picorv32/verilog/tests/bgeu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,197 root INFO copying pythondata_cpu_picorv32/verilog/tests/blt.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,198 root INFO copying pythondata_cpu_picorv32/verilog/tests/bltu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,199 root INFO copying pythondata_cpu_picorv32/verilog/tests/bne.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,199 root INFO copying pythondata_cpu_picorv32/verilog/tests/div.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,200 root INFO copying pythondata_cpu_picorv32/verilog/tests/divu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,201 root INFO copying pythondata_cpu_picorv32/verilog/tests/j.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,202 root INFO copying pythondata_cpu_picorv32/verilog/tests/jal.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,203 root INFO copying pythondata_cpu_picorv32/verilog/tests/jalr.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,203 root INFO copying pythondata_cpu_picorv32/verilog/tests/lb.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,204 root INFO copying pythondata_cpu_picorv32/verilog/tests/lbu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,205 root INFO copying pythondata_cpu_picorv32/verilog/tests/lh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,206 root INFO copying pythondata_cpu_picorv32/verilog/tests/lhu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,207 root INFO copying pythondata_cpu_picorv32/verilog/tests/lui.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,208 root INFO copying pythondata_cpu_picorv32/verilog/tests/lw.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,208 root INFO copying pythondata_cpu_picorv32/verilog/tests/mul.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,209 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,210 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulhsu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,211 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulhu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,212 root INFO copying pythondata_cpu_picorv32/verilog/tests/or.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,212 root INFO copying pythondata_cpu_picorv32/verilog/tests/ori.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,213 root INFO copying pythondata_cpu_picorv32/verilog/tests/rem.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,214 root INFO copying pythondata_cpu_picorv32/verilog/tests/remu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,215 root INFO copying pythondata_cpu_picorv32/verilog/tests/riscv_test.h -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,215 root INFO copying pythondata_cpu_picorv32/verilog/tests/sb.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,216 root INFO copying pythondata_cpu_picorv32/verilog/tests/sh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,217 root INFO copying pythondata_cpu_picorv32/verilog/tests/simple.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,217 root INFO copying pythondata_cpu_picorv32/verilog/tests/sll.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,218 root INFO copying pythondata_cpu_picorv32/verilog/tests/slli.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,219 root INFO copying pythondata_cpu_picorv32/verilog/tests/slt.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,220 root INFO copying pythondata_cpu_picorv32/verilog/tests/slti.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,220 root INFO copying pythondata_cpu_picorv32/verilog/tests/sra.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,221 root INFO copying pythondata_cpu_picorv32/verilog/tests/srai.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,222 root INFO copying pythondata_cpu_picorv32/verilog/tests/srl.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,223 root INFO copying pythondata_cpu_picorv32/verilog/tests/srli.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,223 root INFO copying pythondata_cpu_picorv32/verilog/tests/sub.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,224 root INFO copying pythondata_cpu_picorv32/verilog/tests/sw.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,225 root INFO copying pythondata_cpu_picorv32/verilog/tests/test_macros.h -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,226 root INFO copying pythondata_cpu_picorv32/verilog/tests/xor.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,227 root INFO copying pythondata_cpu_picorv32/verilog/tests/xori.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,227 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,228 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,229 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/README.md -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,230 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,230 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,231 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,232 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,233 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,233 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,234 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,235 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,236 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,236 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,237 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/overview.svg -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,238 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/performance.png -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,239 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/picosoc.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,240 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/picosoc.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,240 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,241 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,242 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,243 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,243 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,244 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spimemio.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,245 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/start.s -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,246 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,246 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,247 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/README -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,247 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry.h -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,248 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,249 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,250 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,251 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,251 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/start.S -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,252 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,253 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,254 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,254 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,255 root INFO copying pythondata_cpu_picorv32/verilog/firmware/README -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,256 root INFO copying pythondata_cpu_picorv32/verilog/firmware/custom_ops.S -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,257 root INFO copying pythondata_cpu_picorv32/verilog/firmware/firmware.h -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,257 root INFO copying pythondata_cpu_picorv32/verilog/firmware/hello.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,258 root INFO copying pythondata_cpu_picorv32/verilog/firmware/irq.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,259 root INFO copying pythondata_cpu_picorv32/verilog/firmware/multest.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,260 root INFO copying pythondata_cpu_picorv32/verilog/firmware/print.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,260 root INFO copying pythondata_cpu_picorv32/verilog/firmware/riscv.ld -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,261 root INFO copying pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,262 root INFO copying pythondata_cpu_picorv32/verilog/firmware/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,263 root INFO copying pythondata_cpu_picorv32/verilog/firmware/sieve.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,263 root INFO copying pythondata_cpu_picorv32/verilog/firmware/start.S -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,264 root INFO copying pythondata_cpu_picorv32/verilog/firmware/stats.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,265 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,265 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,266 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,267 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,268 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,268 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,269 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,270 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,270 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,271 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,272 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,272 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,273 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,274 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,275 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,275 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,276 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,277 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,277 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,278 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,279 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,280 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,280 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,281 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,282 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,282 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,283 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,284 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,285 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,285 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,286 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,287 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/system.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,288 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,288 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,289 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,290 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,291 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,291 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,292 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,293 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,293 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,294 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,295 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,296 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,296 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,297 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,298 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,299 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,299 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,300 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,301 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,302 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,302 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/system.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,303 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,304 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,305 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,305 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,306 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,307 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,307 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,308 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,309 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,310 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,310 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,311 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,311 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,312 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,313 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,314 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,314 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,315 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,316 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,317 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,317 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,318 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,319 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,320 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,320 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,321 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,322 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,323 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/test.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,323 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,324 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,325 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,325 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,326 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,327 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,328 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,328 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,329 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,330 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,330 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,331 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,332 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,333 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,333 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,334 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,335 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,336 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,336 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,337 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,337 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,338 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,339 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,340 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,340 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,341 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,342 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,343 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,343 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,344 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,345 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,346 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,346 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,347 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,348 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,349 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,349 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,350 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,350 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,351 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,352 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,353 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,353 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,354 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,355 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,356 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,357 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,357 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,358 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,359 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,360 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,360 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,361 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,362 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,362 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,363 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,364 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,396 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:28,396 root INFO running install 2024-01-19 03:06:28,460 root INFO running install_lib 2024-01-19 03:06:28,469 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:28,469 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:28,470 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32 2024-01-19 03:06:28,470 root INFO copying build/lib/pythondata_cpu_picorv32/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32 2024-01-19 03:06:28,471 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,472 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench_wb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,472 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,473 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench_ez.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,474 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,475 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,475 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,476 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,476 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/auipc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,477 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/j.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,478 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,478 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,479 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,480 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,480 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,481 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,482 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,482 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/div.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,483 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,484 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,484 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,485 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sra.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,486 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,486 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,487 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,487 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,488 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,489 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/beq.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,489 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,490 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,491 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,491 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,492 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/blt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,493 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/remu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,493 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,494 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,495 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,495 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/rem.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,496 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,497 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slti.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,497 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,498 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srai.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,499 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulhsu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,499 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,500 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/simple.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,501 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,501 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sll.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,502 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,503 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,503 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/jal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,504 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/jalr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,505 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,505 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,506 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,507 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 03:06:28,507 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,508 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picorv32.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,509 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picorv32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,509 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/showtrace.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,510 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,511 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,511 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,512 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,513 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,513 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/performance.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,514 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,515 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/overview.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,516 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/performance.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,516 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/picosoc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,517 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,518 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,518 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,519 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,520 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spimemio.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,520 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/picosoc.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,521 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,522 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,522 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,523 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,524 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/start.s -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,524 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,525 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,526 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,526 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,527 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 03:06:28,528 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,528 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,529 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,530 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,530 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,531 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,532 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,532 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,533 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,534 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,534 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,535 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,536 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 03:06:28,536 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/shell.nix -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,537 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,538 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,538 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,539 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/firmware.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,540 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/print.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,540 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/irq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,541 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/custom_ops.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,542 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,542 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/hello.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,543 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,544 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/stats.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,544 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,545 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/sieve.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,546 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,546 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,547 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/multest.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 03:06:28,548 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 03:06:28,548 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts 2024-01-19 03:06:28,549 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,549 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,550 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,551 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,551 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,552 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,553 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 03:06:28,553 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,554 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,555 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,555 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,556 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,557 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,557 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,558 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 03:06:28,559 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,559 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,560 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,560 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,561 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,562 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,562 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/system.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,563 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,564 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,564 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,565 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,566 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,566 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,567 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,568 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,568 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,569 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,570 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,570 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,571 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,571 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 03:06:28,572 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,573 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,573 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,574 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,575 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,575 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/system.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,576 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,577 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,577 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,578 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,579 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,579 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,580 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,581 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,581 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,582 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,583 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,583 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,584 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,585 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,585 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 03:06:28,586 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,586 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,587 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,588 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,588 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,589 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,590 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 03:06:28,590 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,591 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,591 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,592 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,593 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,593 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,594 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 03:06:28,595 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,595 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,596 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,597 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,597 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,598 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,599 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,599 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,600 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,601 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,601 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/config.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,602 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,603 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,603 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,604 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 03:06:28,605 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,605 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,606 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,606 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,607 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,608 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,608 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,609 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,610 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,610 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 03:06:28,611 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,612 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,612 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,613 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,614 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,614 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,615 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,616 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,616 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,617 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 03:06:28,618 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,618 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,619 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,619 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,620 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,621 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,621 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,622 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,623 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,623 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 03:06:28,624 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,625 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,625 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,626 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,626 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,627 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,628 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,628 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,629 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 03:06:28,630 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,630 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,631 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,632 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,632 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,633 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,634 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,634 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,635 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,636 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,636 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,637 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,638 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,638 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,639 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,640 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,640 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,641 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,642 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,642 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,643 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 03:06:28,643 root INFO running install_egg_info 2024-01-19 03:06:28,660 root INFO Copying pythondata_cpu_picorv32.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32-1.0.post88-py3.11.egg-info 2024-01-19 03:06:28,664 root INFO running install_scripts 2024-01-19 03:06:28,671 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32-1.0.post88.dist-info/WHEEL 2024-01-19 03:06:28,674 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-npxkwbgb/pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:28,677 wheel INFO adding 'pythondata_cpu_picorv32/__init__.py' 2024-01-19 03:06:28,680 wheel INFO adding 'pythondata_cpu_picorv32/verilog/.gitignore' 2024-01-19 03:06:28,682 wheel INFO adding 'pythondata_cpu_picorv32/verilog/Makefile' 2024-01-19 03:06:28,683 wheel INFO adding 'pythondata_cpu_picorv32/verilog/README.md' 2024-01-19 03:06:28,684 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picorv32.core' 2024-01-19 03:06:28,686 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picorv32.v' 2024-01-19 03:06:28,688 wheel INFO adding 'pythondata_cpu_picorv32/verilog/shell.nix' 2024-01-19 03:06:28,689 wheel INFO adding 'pythondata_cpu_picorv32/verilog/showtrace.py' 2024-01-19 03:06:28,690 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench.cc' 2024-01-19 03:06:28,691 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench.v' 2024-01-19 03:06:28,692 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench_ez.v' 2024-01-19 03:06:28,693 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench_wb.v' 2024-01-19 03:06:28,694 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/Makefile' 2024-01-19 03:06:28,695 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/README' 2024-01-19 03:06:28,696 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry.h' 2024-01-19 03:06:28,698 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c' 2024-01-19 03:06:28,699 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c' 2024-01-19 03:06:28,700 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c' 2024-01-19 03:06:28,701 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/sections.lds' 2024-01-19 03:06:28,702 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/start.S' 2024-01-19 03:06:28,702 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c' 2024-01-19 03:06:28,703 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c' 2024-01-19 03:06:28,704 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/testbench.v' 2024-01-19 03:06:28,705 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v' 2024-01-19 03:06:28,706 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/README' 2024-01-19 03:06:28,707 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/custom_ops.S' 2024-01-19 03:06:28,708 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/firmware.h' 2024-01-19 03:06:28,709 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/hello.c' 2024-01-19 03:06:28,710 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/irq.c' 2024-01-19 03:06:28,711 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/makehex.py' 2024-01-19 03:06:28,711 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/multest.c' 2024-01-19 03:06:28,712 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/print.c' 2024-01-19 03:06:28,713 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/riscv.ld' 2024-01-19 03:06:28,714 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig' 2024-01-19 03:06:28,715 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/sections.lds' 2024-01-19 03:06:28,716 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/sieve.c' 2024-01-19 03:06:28,718 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/start.S' 2024-01-19 03:06:28,719 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/stats.c' 2024-01-19 03:06:28,720 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/.gitignore' 2024-01-19 03:06:28,721 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/Makefile' 2024-01-19 03:06:28,722 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/README.md' 2024-01-19 03:06:28,723 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/firmware.c' 2024-01-19 03:06:28,724 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core' 2024-01-19 03:06:28,725 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf' 2024-01-19 03:06:28,726 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v' 2024-01-19 03:06:28,727 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v' 2024-01-19 03:06:28,727 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v' 2024-01-19 03:06:28,728 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core' 2024-01-19 03:06:28,729 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf' 2024-01-19 03:06:28,730 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v' 2024-01-19 03:06:28,731 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v' 2024-01-19 03:06:28,732 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/overview.svg' 2024-01-19 03:06:28,734 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/performance.png' 2024-01-19 03:06:28,736 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/performance.py' 2024-01-19 03:06:28,737 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/picosoc.core' 2024-01-19 03:06:28,737 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/picosoc.v' 2024-01-19 03:06:28,738 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/sections.lds' 2024-01-19 03:06:28,739 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v' 2024-01-19 03:06:28,740 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash.core' 2024-01-19 03:06:28,741 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash.v' 2024-01-19 03:06:28,742 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v' 2024-01-19 03:06:28,743 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spimemio.v' 2024-01-19 03:06:28,744 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/start.s' 2024-01-19 03:06:28,746 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore' 2024-01-19 03:06:28,746 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile' 2024-01-19 03:06:28,747 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff' 2024-01-19 03:06:28,748 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/start.S' 2024-01-19 03:06:28,749 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c' 2024-01-19 03:06:28,750 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc' 2024-01-19 03:06:28,751 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v' 2024-01-19 03:06:28,752 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore' 2024-01-19 03:06:28,753 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile' 2024-01-19 03:06:28,754 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc' 2024-01-19 03:06:28,754 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py' 2024-01-19 03:06:28,755 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S' 2024-01-19 03:06:28,756 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld' 2024-01-19 03:06:28,757 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c' 2024-01-19 03:06:28,758 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v' 2024-01-19 03:06:28,759 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore' 2024-01-19 03:06:28,760 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile' 2024-01-19 03:06:28,761 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf' 2024-01-19 03:06:28,761 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v' 2024-01-19 03:06:28,762 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v' 2024-01-19 03:06:28,763 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S' 2024-01-19 03:06:28,764 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c' 2024-01-19 03:06:28,765 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds' 2024-01-19 03:06:28,766 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md' 2024-01-19 03:06:28,767 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore' 2024-01-19 03:06:28,768 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile' 2024-01-19 03:06:28,768 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/README' 2024-01-19 03:06:28,769 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S' 2024-01-19 03:06:28,770 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c' 2024-01-19 03:06:28,771 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds' 2024-01-19 03:06:28,772 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys' 2024-01-19 03:06:28,773 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt' 2024-01-19 03:06:28,773 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v' 2024-01-19 03:06:28,775 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore' 2024-01-19 03:06:28,775 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile' 2024-01-19 03:06:28,776 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S' 2024-01-19 03:06:28,777 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c' 2024-01-19 03:06:28,778 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds' 2024-01-19 03:06:28,779 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc' 2024-01-19 03:06:28,780 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf' 2024-01-19 03:06:28,781 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf' 2024-01-19 03:06:28,781 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf' 2024-01-19 03:06:28,782 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v' 2024-01-19 03:06:28,783 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf' 2024-01-19 03:06:28,784 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc' 2024-01-19 03:06:28,785 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf' 2024-01-19 03:06:28,786 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc' 2024-01-19 03:06:28,786 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl' 2024-01-19 03:06:28,787 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/system.v' 2024-01-19 03:06:28,788 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v' 2024-01-19 03:06:28,789 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh' 2024-01-19 03:06:28,790 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh' 2024-01-19 03:06:28,791 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v' 2024-01-19 03:06:28,792 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore' 2024-01-19 03:06:28,793 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/Makefile' 2024-01-19 03:06:28,794 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c' 2024-01-19 03:06:28,794 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py' 2024-01-19 03:06:28,795 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py' 2024-01-19 03:06:28,796 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld' 2024-01-19 03:06:28,797 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/start.S' 2024-01-19 03:06:28,798 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c' 2024-01-19 03:06:28,799 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v' 2024-01-19 03:06:28,800 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore' 2024-01-19 03:06:28,801 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh' 2024-01-19 03:06:28,802 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v' 2024-01-19 03:06:28,803 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh' 2024-01-19 03:06:28,804 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc' 2024-01-19 03:06:28,804 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v' 2024-01-19 03:06:28,805 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh' 2024-01-19 03:06:28,806 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v' 2024-01-19 03:06:28,807 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh' 2024-01-19 03:06:28,808 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v' 2024-01-19 03:06:28,809 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v' 2024-01-19 03:06:28,810 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw' 2024-01-19 03:06:28,811 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh' 2024-01-19 03:06:28,811 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc' 2024-01-19 03:06:28,812 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v' 2024-01-19 03:06:28,813 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh' 2024-01-19 03:06:28,814 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc' 2024-01-19 03:06:28,815 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v' 2024-01-19 03:06:28,816 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh' 2024-01-19 03:06:28,817 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v' 2024-01-19 03:06:28,818 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore' 2024-01-19 03:06:28,819 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README' 2024-01-19 03:06:28,820 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh' 2024-01-19 03:06:28,820 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds' 2024-01-19 03:06:28,821 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S' 2024-01-19 03:06:28,822 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v' 2024-01-19 03:06:28,823 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore' 2024-01-19 03:06:28,824 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/Makefile' 2024-01-19 03:06:28,825 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/README' 2024-01-19 03:06:28,826 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py' 2024-01-19 03:06:28,827 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/config.py' 2024-01-19 03:06:28,828 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff' 2024-01-19 03:06:28,828 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff' 2024-01-19 03:06:28,829 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff' 2024-01-19 03:06:28,830 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff' 2024-01-19 03:06:28,831 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h' 2024-01-19 03:06:28,832 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds' 2024-01-19 03:06:28,833 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/test.sh' 2024-01-19 03:06:28,834 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc' 2024-01-19 03:06:28,835 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v' 2024-01-19 03:06:28,836 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore' 2024-01-19 03:06:28,836 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile' 2024-01-19 03:06:28,837 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S' 2024-01-19 03:06:28,838 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c' 2024-01-19 03:06:28,839 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds' 2024-01-19 03:06:28,840 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl' 2024-01-19 03:06:28,841 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc' 2024-01-19 03:06:28,842 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl' 2024-01-19 03:06:28,842 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl' 2024-01-19 03:06:28,843 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl' 2024-01-19 03:06:28,844 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v' 2024-01-19 03:06:28,845 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl' 2024-01-19 03:06:28,846 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc' 2024-01-19 03:06:28,847 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl' 2024-01-19 03:06:28,848 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc' 2024-01-19 03:06:28,848 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/system.v' 2024-01-19 03:06:28,849 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v' 2024-01-19 03:06:28,850 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh' 2024-01-19 03:06:28,851 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh' 2024-01-19 03:06:28,852 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v' 2024-01-19 03:06:28,853 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore' 2024-01-19 03:06:28,854 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib' 2024-01-19 03:06:28,855 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v' 2024-01-19 03:06:28,856 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys' 2024-01-19 03:06:28,856 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh' 2024-01-19 03:06:28,857 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys' 2024-01-19 03:06:28,858 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md' 2024-01-19 03:06:28,859 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh' 2024-01-19 03:06:28,860 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh' 2024-01-19 03:06:28,861 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl' 2024-01-19 03:06:28,862 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys' 2024-01-19 03:06:28,863 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys' 2024-01-19 03:06:28,864 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/LICENSE' 2024-01-19 03:06:28,865 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/README' 2024-01-19 03:06:28,865 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/add.S' 2024-01-19 03:06:28,866 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/addi.S' 2024-01-19 03:06:28,867 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/and.S' 2024-01-19 03:06:28,868 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/andi.S' 2024-01-19 03:06:28,869 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/auipc.S' 2024-01-19 03:06:28,870 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/beq.S' 2024-01-19 03:06:28,871 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bge.S' 2024-01-19 03:06:28,872 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bgeu.S' 2024-01-19 03:06:28,872 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/blt.S' 2024-01-19 03:06:28,873 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bltu.S' 2024-01-19 03:06:28,874 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bne.S' 2024-01-19 03:06:28,875 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/div.S' 2024-01-19 03:06:28,876 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/divu.S' 2024-01-19 03:06:28,877 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/j.S' 2024-01-19 03:06:28,878 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/jal.S' 2024-01-19 03:06:28,879 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/jalr.S' 2024-01-19 03:06:28,879 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lb.S' 2024-01-19 03:06:28,880 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lbu.S' 2024-01-19 03:06:28,881 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lh.S' 2024-01-19 03:06:28,882 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lhu.S' 2024-01-19 03:06:28,883 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lui.S' 2024-01-19 03:06:28,884 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lw.S' 2024-01-19 03:06:28,885 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mul.S' 2024-01-19 03:06:28,886 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulh.S' 2024-01-19 03:06:28,886 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulhsu.S' 2024-01-19 03:06:28,887 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulhu.S' 2024-01-19 03:06:28,888 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/or.S' 2024-01-19 03:06:28,889 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/ori.S' 2024-01-19 03:06:28,890 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/rem.S' 2024-01-19 03:06:28,891 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/remu.S' 2024-01-19 03:06:28,892 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/riscv_test.h' 2024-01-19 03:06:28,893 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sb.S' 2024-01-19 03:06:28,893 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sh.S' 2024-01-19 03:06:28,894 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/simple.S' 2024-01-19 03:06:28,895 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sll.S' 2024-01-19 03:06:28,896 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slli.S' 2024-01-19 03:06:28,897 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slt.S' 2024-01-19 03:06:28,898 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slti.S' 2024-01-19 03:06:28,899 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sra.S' 2024-01-19 03:06:28,900 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srai.S' 2024-01-19 03:06:28,901 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srl.S' 2024-01-19 03:06:28,901 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srli.S' 2024-01-19 03:06:28,902 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sub.S' 2024-01-19 03:06:28,903 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sw.S' 2024-01-19 03:06:28,904 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/test_macros.h' 2024-01-19 03:06:28,905 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/xor.S' 2024-01-19 03:06:28,906 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/xori.S' 2024-01-19 03:06:28,907 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/METADATA' 2024-01-19 03:06:28,908 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/WHEEL' 2024-01-19 03:06:28,909 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/top_level.txt' 2024-01-19 03:06:28,918 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/RECORD' 2024-01-19 03:06:28,924 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:28,935 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-rocket 2024-01-19 03:06:30,043 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:30,229 root INFO running bdist_wheel 2024-01-19 03:06:30,304 root INFO running build 2024-01-19 03:06:30,305 root INFO running build_py 2024-01-19 03:06:30,316 root INFO creating build 2024-01-19 03:06:30,316 root INFO creating build/lib 2024-01-19 03:06:30,316 root INFO creating build/lib/pythondata_cpu_rocket 2024-01-19 03:06:30,317 root INFO copying pythondata_cpu_rocket/__init__.py -> build/lib/pythondata_cpu_rocket 2024-01-19 03:06:30,320 root INFO running egg_info 2024-01-19 03:06:30,321 root INFO creating pythondata_cpu_rocket.egg-info 2024-01-19 03:06:30,328 root INFO writing pythondata_cpu_rocket.egg-info/PKG-INFO 2024-01-19 03:06:30,330 root INFO writing dependency_links to pythondata_cpu_rocket.egg-info/dependency_links.txt 2024-01-19 03:06:30,331 root INFO writing top-level names to pythondata_cpu_rocket.egg-info/top_level.txt 2024-01-19 03:06:30,332 root INFO writing manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-01-19 03:06:30,345 root INFO reading manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-01-19 03:06:30,346 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:30,355 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:30,365 root INFO writing manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-01-19 03:06:30,382 root INFO creating build/lib/pythondata_cpu_rocket/verilog 2024-01-19 03:06:30,382 root INFO copying pythondata_cpu_rocket/verilog/.gitignore -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 03:06:30,383 root INFO copying pythondata_cpu_rocket/verilog/README.md -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 03:06:30,384 root INFO copying pythondata_cpu_rocket/verilog/_upstream.rev -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 03:06:30,385 root INFO copying pythondata_cpu_rocket/verilog/update.sh -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 03:06:30,386 root INFO creating build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,386 root INFO copying pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,389 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,390 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,392 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,393 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,394 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,395 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,397 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,398 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,399 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,400 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,400 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,423 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,425 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,426 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,426 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,427 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,428 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,450 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,451 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,453 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,454 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,455 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,456 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,459 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,460 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,460 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,461 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,462 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,489 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,490 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,491 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,492 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,493 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,494 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,523 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,524 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,526 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,527 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,528 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,528 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,531 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,532 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,533 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,534 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,535 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,559 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,560 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,561 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,562 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,563 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,564 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,589 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,590 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,592 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,593 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,594 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,594 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,597 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,598 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,599 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,600 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,601 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,625 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,627 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,628 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,628 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,629 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,630 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,657 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,658 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,660 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,661 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,661 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,662 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,665 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,666 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,667 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,668 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,669 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,693 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,695 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,696 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,696 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,697 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,698 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,724 root INFO creating build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,725 root INFO copying pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,725 root INFO copying pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,726 root INFO copying pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,727 root INFO copying pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,728 root INFO copying pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,729 root INFO copying pythondata_cpu_rocket/verilog/vsrc/SimDTM.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,730 root INFO copying pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,730 root INFO copying pythondata_cpu_rocket/verilog/vsrc/TestDriver.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,731 root INFO copying pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:30,757 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:30,757 root INFO running install 2024-01-19 03:06:30,821 root INFO running install_lib 2024-01-19 03:06:30,830 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:30,830 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:30,831 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket 2024-01-19 03:06:30,831 root INFO copying build/lib/pythondata_cpu_rocket/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket 2024-01-19 03:06:30,832 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 03:06:30,833 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,833 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,835 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,836 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,836 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,838 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,839 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,841 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,841 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,842 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,843 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,844 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,844 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,845 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,846 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,846 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,848 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,849 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,850 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,851 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,852 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,852 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,853 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,854 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,855 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,879 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,882 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,883 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,906 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,907 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,907 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,908 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,910 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,910 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,911 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,912 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,915 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,915 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,916 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,917 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,918 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,945 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,946 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,947 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,948 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,949 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,950 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,950 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,951 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,953 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,953 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,954 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,957 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,958 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,986 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:30,987 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,013 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,014 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,014 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,015 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,016 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,017 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,042 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,069 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,070 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,071 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,072 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,073 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,073 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,099 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,102 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,103 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,104 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,105 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,106 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,106 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,107 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,108 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,109 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,109 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,134 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,135 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,136 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,137 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,163 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,164 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,165 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 03:06:31,166 root INFO copying build/lib/pythondata_cpu_rocket/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 03:06:31,167 root INFO copying build/lib/pythondata_cpu_rocket/verilog/update.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 03:06:31,168 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,168 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,169 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,170 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,170 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,171 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/SimDTM.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,172 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/TestDriver.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,173 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,173 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,174 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 03:06:31,175 root INFO copying build/lib/pythondata_cpu_rocket/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 03:06:31,176 root INFO copying build/lib/pythondata_cpu_rocket/verilog/_upstream.rev -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 03:06:31,176 root INFO running install_egg_info 2024-01-19 03:06:31,193 root INFO Copying pythondata_cpu_rocket.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket-0.0.post7053-py3.11.egg-info 2024-01-19 03:06:31,197 root INFO running install_scripts 2024-01-19 03:06:31,205 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket-0.0.post7053.dist-info/WHEEL 2024-01-19 03:06:31,207 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-g0ch5wz4/pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:31,210 wheel INFO adding 'pythondata_cpu_rocket/__init__.py' 2024-01-19 03:06:31,214 wheel INFO adding 'pythondata_cpu_rocket/verilog/.gitignore' 2024-01-19 03:06:31,215 wheel INFO adding 'pythondata_cpu_rocket/verilog/README.md' 2024-01-19 03:06:31,216 wheel INFO adding 'pythondata_cpu_rocket/verilog/_upstream.rev' 2024-01-19 03:06:31,217 wheel INFO adding 'pythondata_cpu_rocket/verilog/update.sh' 2024-01-19 03:06:31,220 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json' 2024-01-19 03:06:31,232 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json' 2024-01-19 03:06:31,235 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json' 2024-01-19 03:06:31,241 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json' 2024-01-19 03:06:31,242 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json' 2024-01-19 03:06:31,243 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json' 2024-01-19 03:06:31,245 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json' 2024-01-19 03:06:31,257 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v' 2024-01-19 03:06:31,258 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf' 2024-01-19 03:06:31,259 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d' 2024-01-19 03:06:31,260 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts' 2024-01-19 03:06:31,289 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir' 2024-01-19 03:06:31,490 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml' 2024-01-19 03:06:31,494 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json' 2024-01-19 03:06:31,495 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json' 2024-01-19 03:06:31,496 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs' 2024-01-19 03:06:31,497 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf' 2024-01-19 03:06:31,524 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v' 2024-01-19 03:06:31,704 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json' 2024-01-19 03:06:31,707 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json' 2024-01-19 03:06:31,713 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json' 2024-01-19 03:06:31,714 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json' 2024-01-19 03:06:31,715 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json' 2024-01-19 03:06:31,717 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json' 2024-01-19 03:06:31,729 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v' 2024-01-19 03:06:31,730 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf' 2024-01-19 03:06:31,730 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d' 2024-01-19 03:06:31,732 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts' 2024-01-19 03:06:31,766 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir' 2024-01-19 03:06:31,998 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml' 2024-01-19 03:06:32,003 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json' 2024-01-19 03:06:32,004 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json' 2024-01-19 03:06:32,004 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs' 2024-01-19 03:06:32,005 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf' 2024-01-19 03:06:32,042 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v' 2024-01-19 03:06:32,287 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json' 2024-01-19 03:06:32,289 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json' 2024-01-19 03:06:32,295 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json' 2024-01-19 03:06:32,296 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json' 2024-01-19 03:06:32,297 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json' 2024-01-19 03:06:32,299 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json' 2024-01-19 03:06:32,311 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v' 2024-01-19 03:06:32,312 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf' 2024-01-19 03:06:32,313 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d' 2024-01-19 03:06:32,314 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts' 2024-01-19 03:06:32,343 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir' 2024-01-19 03:06:32,551 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml' 2024-01-19 03:06:32,556 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json' 2024-01-19 03:06:32,557 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json' 2024-01-19 03:06:32,558 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs' 2024-01-19 03:06:32,559 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf' 2024-01-19 03:06:32,589 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v' 2024-01-19 03:06:32,795 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json' 2024-01-19 03:06:32,797 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json' 2024-01-19 03:06:32,803 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json' 2024-01-19 03:06:32,804 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json' 2024-01-19 03:06:32,805 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json' 2024-01-19 03:06:32,808 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json' 2024-01-19 03:06:32,819 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v' 2024-01-19 03:06:32,820 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf' 2024-01-19 03:06:32,821 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d' 2024-01-19 03:06:32,823 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts' 2024-01-19 03:06:32,852 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir' 2024-01-19 03:06:33,061 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml' 2024-01-19 03:06:33,066 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json' 2024-01-19 03:06:33,067 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json' 2024-01-19 03:06:33,068 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs' 2024-01-19 03:06:33,069 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf' 2024-01-19 03:06:33,099 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v' 2024-01-19 03:06:33,309 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json' 2024-01-19 03:06:33,311 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json' 2024-01-19 03:06:33,317 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json' 2024-01-19 03:06:33,318 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json' 2024-01-19 03:06:33,319 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json' 2024-01-19 03:06:33,321 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json' 2024-01-19 03:06:33,333 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v' 2024-01-19 03:06:33,334 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf' 2024-01-19 03:06:33,335 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d' 2024-01-19 03:06:33,336 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts' 2024-01-19 03:06:33,366 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir' 2024-01-19 03:06:33,575 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml' 2024-01-19 03:06:33,579 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json' 2024-01-19 03:06:33,580 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json' 2024-01-19 03:06:33,581 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs' 2024-01-19 03:06:33,582 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf' 2024-01-19 03:06:33,612 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v' 2024-01-19 03:06:33,825 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v' 2024-01-19 03:06:33,826 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v' 2024-01-19 03:06:33,827 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v' 2024-01-19 03:06:33,828 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v' 2024-01-19 03:06:33,829 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v' 2024-01-19 03:06:33,830 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/SimDTM.v' 2024-01-19 03:06:33,831 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v' 2024-01-19 03:06:33,832 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/TestDriver.v' 2024-01-19 03:06:33,833 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v' 2024-01-19 03:06:33,834 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/METADATA' 2024-01-19 03:06:33,835 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/WHEEL' 2024-01-19 03:06:33,835 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/top_level.txt' 2024-01-19 03:06:33,841 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/RECORD' 2024-01-19 03:06:33,844 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:33,873 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-serv 2024-01-19 03:06:34,960 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:35,149 root INFO running bdist_wheel 2024-01-19 03:06:35,224 root INFO running build 2024-01-19 03:06:35,225 root INFO running build_py 2024-01-19 03:06:35,236 root INFO creating build 2024-01-19 03:06:35,236 root INFO creating build/lib 2024-01-19 03:06:35,237 root INFO creating build/lib/pythondata_cpu_serv 2024-01-19 03:06:35,237 root INFO copying pythondata_cpu_serv/__init__.py -> build/lib/pythondata_cpu_serv 2024-01-19 03:06:35,242 root INFO creating build/lib/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,243 root INFO creating build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,243 root INFO copying pythondata_cpu_serv/verilog/sw/makehex.py -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,245 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target 2024-01-19 03:06:35,245 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,246 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,247 root INFO running egg_info 2024-01-19 03:06:35,248 root INFO creating pythondata_cpu_serv.egg-info 2024-01-19 03:06:35,255 root INFO writing pythondata_cpu_serv.egg-info/PKG-INFO 2024-01-19 03:06:35,257 root INFO writing dependency_links to pythondata_cpu_serv.egg-info/dependency_links.txt 2024-01-19 03:06:35,258 root INFO writing top-level names to pythondata_cpu_serv.egg-info/top_level.txt 2024-01-19 03:06:35,259 root INFO writing manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-01-19 03:06:35,277 root INFO reading manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-01-19 03:06:35,278 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:35,285 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:35,293 root INFO writing manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-01-19 03:06:35,309 root INFO copying pythondata_cpu_serv/verilog/.gitmodules -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,310 root INFO copying pythondata_cpu_serv/verilog/LICENSE -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,311 root INFO copying pythondata_cpu_serv/verilog/README.md -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,312 root INFO copying pythondata_cpu_serv/verilog/serv.core -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,313 root INFO copying pythondata_cpu_serv/verilog/servant.core -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,313 root INFO copying pythondata_cpu_serv/verilog/serving.core -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,314 root INFO creating build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,315 root INFO copying pythondata_cpu_serv/verilog/servant/ecppll.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,316 root INFO copying pythondata_cpu_serv/verilog/servant/ice40_pll.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,316 root INFO copying pythondata_cpu_serv/verilog/servant/servant.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,317 root INFO copying pythondata_cpu_serv/verilog/servant/servant_arbiter.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,318 root INFO copying pythondata_cpu_serv/verilog/servant/servant_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,319 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ecp5.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,320 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,320 root INFO copying pythondata_cpu_serv/verilog/servant/servant_gpio.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,321 root INFO copying pythondata_cpu_serv/verilog/servant/servant_mux.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,322 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ram.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,323 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,324 root INFO copying pythondata_cpu_serv/verilog/servant/servant_timer.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,324 root INFO copying pythondata_cpu_serv/verilog/servant/servant_upduino2.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,325 root INFO copying pythondata_cpu_serv/verilog/servant/servclone10.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,326 root INFO copying pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,327 root INFO copying pythondata_cpu_serv/verilog/servant/service.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,328 root INFO copying pythondata_cpu_serv/verilog/servant/servix.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,328 root INFO copying pythondata_cpu_serv/verilog/servant/servix_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,329 root INFO copying pythondata_cpu_serv/verilog/servant/servus.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,330 root INFO copying pythondata_cpu_serv/verilog/servant/servus_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,331 root INFO creating build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,331 root INFO copying pythondata_cpu_serv/verilog/bench/servant_sim.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,332 root INFO copying pythondata_cpu_serv/verilog/bench/servant_tb.cpp -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,333 root INFO copying pythondata_cpu_serv/verilog/bench/servant_tb.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,334 root INFO copying pythondata_cpu_serv/verilog/bench/uart_decoder.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,334 root INFO creating build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,335 root INFO copying pythondata_cpu_serv/verilog/rtl/ser_shift.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,336 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_alu.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,336 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_bufreg.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,337 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_csr.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,338 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_ctrl.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,339 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_decode.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,340 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_mem_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,341 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_params.vh -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,341 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,342 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,343 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,344 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_top.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,345 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_state.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,345 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_top.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,346 root INFO copying pythondata_cpu_serv/verilog/rtl/shift_reg.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,347 root INFO creating build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,348 root INFO copying pythondata_cpu_serv/verilog/serving/serving.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,348 root INFO copying pythondata_cpu_serv/verilog/serving/serving_arbiter.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,349 root INFO copying pythondata_cpu_serv/verilog/serving/serving_mux.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,350 root INFO copying pythondata_cpu_serv/verilog/serving/serving_ram.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,351 root INFO creating build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,351 root INFO copying pythondata_cpu_serv/verilog/data/alhambra.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,352 root INFO copying pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,353 root INFO copying pythondata_cpu_serv/verilog/data/cyc1000.sdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,353 root INFO copying pythondata_cpu_serv/verilog/data/cyc1000.tcl -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,354 root INFO copying pythondata_cpu_serv/verilog/data/icebreaker.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,355 root INFO copying pythondata_cpu_serv/verilog/data/nexys_a7.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,356 root INFO copying pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,356 root INFO copying pythondata_cpu_serv/verilog/data/ulx3s.lpf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,357 root INFO copying pythondata_cpu_serv/verilog/data/upduino2.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,358 root INFO copying pythondata_cpu_serv/verilog/data/zcu106.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,359 root INFO copying pythondata_cpu_serv/verilog/sw/Makefile -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,359 root INFO copying pythondata_cpu_serv/verilog/sw/blinky.S -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,360 root INFO copying pythondata_cpu_serv/verilog/sw/blinky.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,361 root INFO copying pythondata_cpu_serv/verilog/sw/link.ld -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,362 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_hello.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,362 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,363 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_phil.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,364 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_sync.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,365 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,366 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,366 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/link.ld -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,367 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device 2024-01-19 03:06:35,367 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 03:06:35,368 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 03:06:35,395 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:35,395 root INFO running install 2024-01-19 03:06:35,458 root INFO running install_lib 2024-01-19 03:06:35,467 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:35,467 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:35,468 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv 2024-01-19 03:06:35,468 root INFO copying build/lib/pythondata_cpu_serv/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv 2024-01-19 03:06:35,469 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,470 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,470 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,471 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,472 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_timer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,472 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servclone10.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,473 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,474 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,474 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/ice40_pll.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,475 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servix.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,476 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_arbiter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,476 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/ecppll.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,477 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servus.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,478 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_gpio.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,478 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ecp5.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,479 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,480 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,480 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/service.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,481 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_upduino2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,482 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servus_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,482 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,483 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servix_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 03:06:35,484 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,484 root INFO copying build/lib/pythondata_cpu_serv/verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,485 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,486 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_tb.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,486 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,487 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_sim.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,488 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/uart_decoder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 03:06:35,488 root INFO copying build/lib/pythondata_cpu_serv/verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,489 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,490 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,490 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/ser_shift.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,491 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,491 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,492 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_params.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,493 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_csr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,493 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,494 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/shift_reg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,495 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_bufreg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,495 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_mem_if.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,496 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,497 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,497 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,498 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_state.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,499 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_alu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,500 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_if.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 03:06:35,500 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,501 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,501 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,502 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,503 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_arbiter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 03:06:35,504 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,504 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/cyc1000.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,505 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/upduino2.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,505 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/icebreaker.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,506 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/ulx3s.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,507 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/cyc1000.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,507 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,508 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,509 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/alhambra.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,509 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/nexys_a7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,510 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/zcu106.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 03:06:35,511 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,511 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,512 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_hello.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,513 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,513 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/blinky.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,514 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_phil.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,515 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,515 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_sync.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,516 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/blinky.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,517 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 03:06:35,518 root INFO copying build/lib/pythondata_cpu_serv/verilog/serv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,518 root INFO copying build/lib/pythondata_cpu_serv/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-01-19 03:06:35,519 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target 2024-01-19 03:06:35,520 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,520 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,521 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,521 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,522 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device 2024-01-19 03:06:35,523 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 03:06:35,523 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 03:06:35,524 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 03:06:35,524 root INFO running install_egg_info 2024-01-19 03:06:35,541 root INFO Copying pythondata_cpu_serv.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_serv-1.0.post66-py3.11.egg-info 2024-01-19 03:06:35,544 root INFO running install_scripts 2024-01-19 03:06:35,552 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv-1.0.post66.dist-info/WHEEL 2024-01-19 03:06:35,555 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-jghfulzd/pythondata_cpu_serv-1.0.post66-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:35,557 wheel INFO adding 'pythondata_cpu_serv/__init__.py' 2024-01-19 03:06:35,561 wheel INFO adding 'pythondata_cpu_serv/verilog/.gitmodules' 2024-01-19 03:06:35,562 wheel INFO adding 'pythondata_cpu_serv/verilog/LICENSE' 2024-01-19 03:06:35,563 wheel INFO adding 'pythondata_cpu_serv/verilog/README.md' 2024-01-19 03:06:35,564 wheel INFO adding 'pythondata_cpu_serv/verilog/serv.core' 2024-01-19 03:06:35,565 wheel INFO adding 'pythondata_cpu_serv/verilog/servant.core' 2024-01-19 03:06:35,566 wheel INFO adding 'pythondata_cpu_serv/verilog/serving.core' 2024-01-19 03:06:35,568 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_sim.v' 2024-01-19 03:06:35,569 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_tb.cpp' 2024-01-19 03:06:35,570 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_tb.v' 2024-01-19 03:06:35,570 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/uart_decoder.v' 2024-01-19 03:06:35,572 wheel INFO adding 'pythondata_cpu_serv/verilog/data/alhambra.pcf' 2024-01-19 03:06:35,572 wheel INFO adding 'pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc' 2024-01-19 03:06:35,573 wheel INFO adding 'pythondata_cpu_serv/verilog/data/cyc1000.sdc' 2024-01-19 03:06:35,574 wheel INFO adding 'pythondata_cpu_serv/verilog/data/cyc1000.tcl' 2024-01-19 03:06:35,575 wheel INFO adding 'pythondata_cpu_serv/verilog/data/icebreaker.pcf' 2024-01-19 03:06:35,576 wheel INFO adding 'pythondata_cpu_serv/verilog/data/nexys_a7.xdc' 2024-01-19 03:06:35,577 wheel INFO adding 'pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf' 2024-01-19 03:06:35,578 wheel INFO adding 'pythondata_cpu_serv/verilog/data/ulx3s.lpf' 2024-01-19 03:06:35,579 wheel INFO adding 'pythondata_cpu_serv/verilog/data/upduino2.pcf' 2024-01-19 03:06:35,579 wheel INFO adding 'pythondata_cpu_serv/verilog/data/zcu106.xdc' 2024-01-19 03:06:35,581 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h' 2024-01-19 03:06:35,581 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h' 2024-01-19 03:06:35,582 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/link.ld' 2024-01-19 03:06:35,583 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py' 2024-01-19 03:06:35,584 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include' 2024-01-19 03:06:35,585 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/ser_shift.v' 2024-01-19 03:06:35,586 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_alu.v' 2024-01-19 03:06:35,587 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_bufreg.v' 2024-01-19 03:06:35,588 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_csr.v' 2024-01-19 03:06:35,589 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_ctrl.v' 2024-01-19 03:06:35,590 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_decode.v' 2024-01-19 03:06:35,591 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_mem_if.v' 2024-01-19 03:06:35,592 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_params.vh' 2024-01-19 03:06:35,593 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_if.v' 2024-01-19 03:06:35,594 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v' 2024-01-19 03:06:35,594 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v' 2024-01-19 03:06:35,595 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_top.v' 2024-01-19 03:06:35,596 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_state.v' 2024-01-19 03:06:35,597 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_top.v' 2024-01-19 03:06:35,598 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/shift_reg.v' 2024-01-19 03:06:35,600 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/ecppll.v' 2024-01-19 03:06:35,600 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/ice40_pll.v' 2024-01-19 03:06:35,601 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant.v' 2024-01-19 03:06:35,602 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_arbiter.v' 2024-01-19 03:06:35,603 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_clock_gen.v' 2024-01-19 03:06:35,604 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ecp5.v' 2024-01-19 03:06:35,605 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v' 2024-01-19 03:06:35,606 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_gpio.v' 2024-01-19 03:06:35,607 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_mux.v' 2024-01-19 03:06:35,608 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ram.v' 2024-01-19 03:06:35,609 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv' 2024-01-19 03:06:35,610 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_timer.v' 2024-01-19 03:06:35,611 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_upduino2.v' 2024-01-19 03:06:35,611 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servclone10.v' 2024-01-19 03:06:35,612 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v' 2024-01-19 03:06:35,613 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/service.v' 2024-01-19 03:06:35,614 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servix.v' 2024-01-19 03:06:35,615 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servix_clock_gen.v' 2024-01-19 03:06:35,616 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servus.v' 2024-01-19 03:06:35,617 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servus_clock_gen.v' 2024-01-19 03:06:35,618 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving.v' 2024-01-19 03:06:35,619 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_arbiter.v' 2024-01-19 03:06:35,620 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_mux.v' 2024-01-19 03:06:35,621 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_ram.v' 2024-01-19 03:06:35,622 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/Makefile' 2024-01-19 03:06:35,622 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/blinky.S' 2024-01-19 03:06:35,623 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/blinky.hex' 2024-01-19 03:06:35,624 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/link.ld' 2024-01-19 03:06:35,625 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/makehex.py' 2024-01-19 03:06:35,626 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_hello.hex' 2024-01-19 03:06:35,627 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex' 2024-01-19 03:06:35,629 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_phil.hex' 2024-01-19 03:06:35,630 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_sync.hex' 2024-01-19 03:06:35,632 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/METADATA' 2024-01-19 03:06:35,633 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/WHEEL' 2024-01-19 03:06:35,633 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/top_level.txt' 2024-01-19 03:06:35,637 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/RECORD' 2024-01-19 03:06:35,640 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:35,645 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_serv-1.0.post66-py3-none-any.whl pythondata_cpu_serv-1.0.post66-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-vexriscv 2024-01-19 03:06:36,724 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:36,911 root INFO running bdist_wheel 2024-01-19 03:06:36,987 root INFO running build 2024-01-19 03:06:36,987 root INFO running build_py 2024-01-19 03:06:36,998 root INFO creating build 2024-01-19 03:06:36,999 root INFO creating build/lib 2024-01-19 03:06:36,999 root INFO creating build/lib/pythondata_cpu_vexriscv 2024-01-19 03:06:37,000 root INFO copying pythondata_cpu_vexriscv/__init__.py -> build/lib/pythondata_cpu_vexriscv 2024-01-19 03:06:37,005 root INFO running egg_info 2024-01-19 03:06:37,005 root INFO creating pythondata_cpu_vexriscv.egg-info 2024-01-19 03:06:37,012 root INFO writing pythondata_cpu_vexriscv.egg-info/PKG-INFO 2024-01-19 03:06:37,014 root INFO writing dependency_links to pythondata_cpu_vexriscv.egg-info/dependency_links.txt 2024-01-19 03:06:37,016 root INFO writing top-level names to pythondata_cpu_vexriscv.egg-info/top_level.txt 2024-01-19 03:06:37,016 root INFO writing manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-01-19 03:06:37,031 root INFO reading manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-01-19 03:06:37,033 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:37,037 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:37,042 root INFO writing manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-01-19 03:06:37,050 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,051 root INFO copying pythondata_cpu_vexriscv/verilog/.gitignore -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,052 root INFO copying pythondata_cpu_vexriscv/verilog/.gitmodules -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,053 root INFO copying pythondata_cpu_vexriscv/verilog/Makefile -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,053 root INFO copying pythondata_cpu_vexriscv/verilog/README.md -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,054 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,056 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,057 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,059 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,059 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,061 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,062 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,064 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,064 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,066 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,067 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,069 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,069 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,071 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,072 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,073 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,074 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,075 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,076 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,076 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,078 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,078 root INFO copying pythondata_cpu_vexriscv/verilog/build.sbt -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,079 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/project 2024-01-19 03:06:37,080 root INFO copying pythondata_cpu_vexriscv/verilog/project/build.properties -> build/lib/pythondata_cpu_vexriscv/verilog/project 2024-01-19 03:06:37,080 root INFO copying pythondata_cpu_vexriscv/verilog/project/plugins.sbt -> build/lib/pythondata_cpu_vexriscv/verilog/project 2024-01-19 03:06:37,081 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src 2024-01-19 03:06:37,081 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main 2024-01-19 03:06:37,082 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala 2024-01-19 03:06:37,082 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 03:06:37,082 root INFO copying pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala -> build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 03:06:37,108 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:37,108 root INFO running install 2024-01-19 03:06:37,171 root INFO running install_lib 2024-01-19 03:06:37,180 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:37,180 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:37,181 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv 2024-01-19 03:06:37,181 root INFO copying build/lib/pythondata_cpu_vexriscv/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv 2024-01-19 03:06:37,182 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,183 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-01-19 03:06:37,183 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/project/build.properties -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-01-19 03:06:37,184 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/project/plugins.sbt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-01-19 03:06:37,185 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,185 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src 2024-01-19 03:06:37,186 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main 2024-01-19 03:06:37,186 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala 2024-01-19 03:06:37,187 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 03:06:37,187 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 03:06:37,188 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,189 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,190 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/build.sbt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,191 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,192 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,193 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,194 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,194 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,195 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,196 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,198 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,199 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,199 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,201 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,201 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,202 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,203 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,204 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,205 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,205 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,207 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,207 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,208 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,209 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,210 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 03:06:37,210 root INFO running install_egg_info 2024-01-19 03:06:37,226 root INFO Copying pythondata_cpu_vexriscv.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv-1.0.1.post314-py3.11.egg-info 2024-01-19 03:06:37,230 root INFO running install_scripts 2024-01-19 03:06:37,238 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv-1.0.1.post314.dist-info/WHEEL 2024-01-19 03:06:37,240 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-0ptb3k7v/pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:37,243 wheel INFO adding 'pythondata_cpu_vexriscv/__init__.py' 2024-01-19 03:06:37,247 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/.gitignore' 2024-01-19 03:06:37,248 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/.gitmodules' 2024-01-19 03:06:37,249 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/Makefile' 2024-01-19 03:06:37,250 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/README.md' 2024-01-19 03:06:37,252 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv.v' 2024-01-19 03:06:37,257 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv.yaml' 2024-01-19 03:06:37,258 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v' 2024-01-19 03:06:37,263 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml' 2024-01-19 03:06:37,265 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v' 2024-01-19 03:06:37,270 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml' 2024-01-19 03:06:37,271 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v' 2024-01-19 03:06:37,276 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml' 2024-01-19 03:06:37,278 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v' 2024-01-19 03:06:37,284 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml' 2024-01-19 03:06:37,286 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v' 2024-01-19 03:06:37,292 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml' 2024-01-19 03:06:37,294 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v' 2024-01-19 03:06:37,301 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v' 2024-01-19 03:06:37,305 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml' 2024-01-19 03:06:37,306 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v' 2024-01-19 03:06:37,311 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml' 2024-01-19 03:06:37,312 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v' 2024-01-19 03:06:37,316 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml' 2024-01-19 03:06:37,317 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v' 2024-01-19 03:06:37,321 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml' 2024-01-19 03:06:37,322 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/build.sbt' 2024-01-19 03:06:37,323 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/project/build.properties' 2024-01-19 03:06:37,324 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/project/plugins.sbt' 2024-01-19 03:06:37,325 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala' 2024-01-19 03:06:37,326 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/METADATA' 2024-01-19 03:06:37,327 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/WHEEL' 2024-01-19 03:06:37,328 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/top_level.txt' 2024-01-19 03:06:37,330 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/RECORD' 2024-01-19 03:06:37,332 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:37,335 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-vexriscv_smp 2024-01-19 03:06:38,414 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:38,599 root INFO running bdist_wheel 2024-01-19 03:06:38,674 root INFO running build 2024-01-19 03:06:38,674 root INFO running build_py 2024-01-19 03:06:38,685 root INFO creating build 2024-01-19 03:06:38,686 root INFO creating build/lib 2024-01-19 03:06:38,686 root INFO creating build/lib/pythondata_cpu_vexriscv_smp 2024-01-19 03:06:38,687 root INFO copying pythondata_cpu_vexriscv_smp/__init__.py -> build/lib/pythondata_cpu_vexriscv_smp 2024-01-19 03:06:38,690 root INFO running egg_info 2024-01-19 03:06:38,690 root INFO creating pythondata_cpu_vexriscv_smp.egg-info 2024-01-19 03:06:38,697 root INFO writing pythondata_cpu_vexriscv_smp.egg-info/PKG-INFO 2024-01-19 03:06:38,700 root INFO writing dependency_links to pythondata_cpu_vexriscv_smp.egg-info/dependency_links.txt 2024-01-19 03:06:38,701 root INFO writing top-level names to pythondata_cpu_vexriscv_smp.egg-info/top_level.txt 2024-01-19 03:06:38,702 root INFO writing manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-01-19 03:06:38,714 root INFO reading manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-01-19 03:06:38,715 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:38,719 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:38,725 root INFO writing manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-01-19 03:06:38,731 root INFO creating build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,732 root INFO copying pythondata_cpu_vexriscv_smp/verilog/README.md -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,733 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,734 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,734 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,735 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,740 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,744 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,747 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,751 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,754 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,758 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,762 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,765 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,769 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,772 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,775 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,779 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,783 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,787 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,790 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,793 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,797 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,800 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,805 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,808 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,814 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,847 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:38,847 root INFO running install 2024-01-19 03:06:38,910 root INFO running install_lib 2024-01-19 03:06:38,919 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:38,920 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:38,920 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp 2024-01-19 03:06:38,921 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp 2024-01-19 03:06:38,922 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,922 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,923 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,927 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,931 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,934 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,937 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,940 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,944 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,947 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,954 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,957 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,965 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,970 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,974 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,977 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,979 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,980 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,984 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,987 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,991 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,994 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,994 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,995 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:38,999 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:39,002 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:39,006 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 03:06:39,010 root INFO running install_egg_info 2024-01-19 03:06:39,027 root INFO Copying pythondata_cpu_vexriscv_smp.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3.11.egg-info 2024-01-19 03:06:39,030 root INFO running install_scripts 2024-01-19 03:06:39,038 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/WHEEL 2024-01-19 03:06:39,041 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-llbzz19m/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:39,044 wheel INFO adding 'pythondata_cpu_vexriscv_smp/__init__.py' 2024-01-19 03:06:39,048 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/README.md' 2024-01-19 03:06:39,049 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v' 2024-01-19 03:06:39,050 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v' 2024-01-19 03:06:39,051 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v' 2024-01-19 03:06:39,055 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v' 2024-01-19 03:06:39,079 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 03:06:39,105 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v' 2024-01-19 03:06:39,127 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v' 2024-01-19 03:06:39,154 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v' 2024-01-19 03:06:39,176 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v' 2024-01-19 03:06:39,201 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v' 2024-01-19 03:06:39,223 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v' 2024-01-19 03:06:39,249 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v' 2024-01-19 03:06:39,270 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v' 2024-01-19 03:06:39,289 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v' 2024-01-19 03:06:39,312 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v' 2024-01-19 03:06:39,339 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v' 2024-01-19 03:06:39,361 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v' 2024-01-19 03:06:39,387 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v' 2024-01-19 03:06:39,407 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v' 2024-01-19 03:06:39,427 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 03:06:39,455 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v' 2024-01-19 03:06:39,477 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v' 2024-01-19 03:06:39,504 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v' 2024-01-19 03:06:39,528 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 03:06:39,574 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 03:06:39,637 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/METADATA' 2024-01-19 03:06:39,637 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/WHEEL' 2024-01-19 03:06:39,638 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/top_level.txt' 2024-01-19 03:06:39,641 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/RECORD' 2024-01-19 03:06:39,642 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:39,650 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl --> Building LiteX Hub module pythondata-misc-tapcfg 2024-01-19 03:06:40,729 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:40,915 root INFO running bdist_wheel 2024-01-19 03:06:40,991 root INFO running build 2024-01-19 03:06:40,991 root INFO running build_py 2024-01-19 03:06:41,002 root INFO creating build 2024-01-19 03:06:41,002 root INFO creating build/lib 2024-01-19 03:06:41,003 root INFO creating build/lib/pythondata_misc_tapcfg 2024-01-19 03:06:41,003 root INFO copying pythondata_misc_tapcfg/__init__.py -> build/lib/pythondata_misc_tapcfg 2024-01-19 03:06:41,008 root INFO running egg_info 2024-01-19 03:06:41,008 root INFO creating pythondata_misc_tapcfg.egg-info 2024-01-19 03:06:41,015 root INFO writing pythondata_misc_tapcfg.egg-info/PKG-INFO 2024-01-19 03:06:41,017 root INFO writing dependency_links to pythondata_misc_tapcfg.egg-info/dependency_links.txt 2024-01-19 03:06:41,019 root INFO writing top-level names to pythondata_misc_tapcfg.egg-info/top_level.txt 2024-01-19 03:06:41,019 root INFO writing manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-01-19 03:06:41,034 root INFO reading manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-01-19 03:06:41,035 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:41,040 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:41,045 root INFO writing manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-01-19 03:06:41,054 root INFO creating build/lib/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,055 root INFO copying pythondata_misc_tapcfg/data/.arcconfig -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,056 root INFO copying pythondata_misc_tapcfg/data/COPYING -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,057 root INFO copying pythondata_misc_tapcfg/data/ChangeLog -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,058 root INFO copying pythondata_misc_tapcfg/data/README -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,058 root INFO copying pythondata_misc_tapcfg/data/SConstruct -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,059 root INFO copying pythondata_misc_tapcfg/data/buildall.sh -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,060 root INFO creating build/lib/pythondata_misc_tapcfg/data/src 2024-01-19 03:06:41,061 root INFO copying pythondata_misc_tapcfg/data/src/SConscript -> build/lib/pythondata_misc_tapcfg/data/src 2024-01-19 03:06:41,061 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/demos 2024-01-19 03:06:41,062 root INFO copying pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs -> build/lib/pythondata_misc_tapcfg/data/src/demos 2024-01-19 03:06:41,063 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/include 2024-01-19 03:06:41,063 root INFO copying pythondata_misc_tapcfg/data/src/include/tapcfg.h -> build/lib/pythondata_misc_tapcfg/data/src/include 2024-01-19 03:06:41,064 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,064 root INFO copying pythondata_misc_tapcfg/data/src/daemon/client.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,065 root INFO copying pythondata_misc_tapcfg/data/src/daemon/client.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,066 root INFO copying pythondata_misc_tapcfg/data/src/daemon/daemon.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,067 root INFO copying pythondata_misc_tapcfg/data/src/daemon/daemon.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,067 root INFO copying pythondata_misc_tapcfg/data/src/daemon/main.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,068 root INFO copying pythondata_misc_tapcfg/data/src/daemon/serversock.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,069 root INFO copying pythondata_misc_tapcfg/data/src/daemon/serversock.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,070 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapdemo.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,071 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapserver.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,072 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapserver.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,072 root INFO copying pythondata_misc_tapcfg/data/src/daemon/threads.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,073 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,074 root INFO copying pythondata_misc_tapcfg/data/src/lib/dlpi.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,074 root INFO copying pythondata_misc_tapcfg/data/src/lib/dlpi.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,075 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,076 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,077 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,078 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,078 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,079 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,080 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,081 root INFO copying pythondata_misc_tapcfg/data/src/lib/taplog.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,082 root INFO copying pythondata_misc_tapcfg/data/src/lib/taplog.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,083 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,083 root INFO copying pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,084 root INFO copying pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,085 root INFO copying pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,085 root INFO copying pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,086 root INFO copying pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,087 root INFO copying pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,112 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:41,112 root INFO running install 2024-01-19 03:06:41,175 root INFO running install_lib 2024-01-19 03:06:41,184 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:41,184 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:41,184 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg 2024-01-19 03:06:41,185 root INFO copying build/lib/pythondata_misc_tapcfg/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg 2024-01-19 03:06:41,186 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,186 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src 2024-01-19 03:06:41,187 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/demos 2024-01-19 03:06:41,187 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/demos 2024-01-19 03:06:41,188 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/include 2024-01-19 03:06:41,189 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/include/tapcfg.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/include 2024-01-19 03:06:41,190 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,190 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapdemo.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,191 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/main.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,191 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapserver.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,192 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/client.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,193 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/client.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,194 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/daemon.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,194 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/serversock.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,195 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/daemon.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,196 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/threads.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,196 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapserver.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,197 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/serversock.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 03:06:41,198 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,198 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/dlpi.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,199 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,200 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,200 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,201 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,202 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/taplog.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,202 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/taplog.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,203 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,204 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/dlpi.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,204 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,205 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 03:06:41,206 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,206 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,207 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,208 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,208 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,209 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,210 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 03:06:41,210 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/SConscript -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src 2024-01-19 03:06:41,211 root INFO copying build/lib/pythondata_misc_tapcfg/data/ChangeLog -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,212 root INFO copying build/lib/pythondata_misc_tapcfg/data/COPYING -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,212 root INFO copying build/lib/pythondata_misc_tapcfg/data/.arcconfig -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,213 root INFO copying build/lib/pythondata_misc_tapcfg/data/buildall.sh -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,214 root INFO copying build/lib/pythondata_misc_tapcfg/data/SConstruct -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,214 root INFO copying build/lib/pythondata_misc_tapcfg/data/README -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-01-19 03:06:41,215 root INFO running install_egg_info 2024-01-19 03:06:41,231 root INFO Copying pythondata_misc_tapcfg.egg-info to build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg-0.0.post424-py3.11.egg-info 2024-01-19 03:06:41,235 root INFO running install_scripts 2024-01-19 03:06:41,243 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg-0.0.post424.dist-info/WHEEL 2024-01-19 03:06:41,246 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-oq9s7jl4/pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:41,248 wheel INFO adding 'pythondata_misc_tapcfg/__init__.py' 2024-01-19 03:06:41,252 wheel INFO adding 'pythondata_misc_tapcfg/data/.arcconfig' 2024-01-19 03:06:41,253 wheel INFO adding 'pythondata_misc_tapcfg/data/COPYING' 2024-01-19 03:06:41,255 wheel INFO adding 'pythondata_misc_tapcfg/data/ChangeLog' 2024-01-19 03:06:41,256 wheel INFO adding 'pythondata_misc_tapcfg/data/README' 2024-01-19 03:06:41,257 wheel INFO adding 'pythondata_misc_tapcfg/data/SConstruct' 2024-01-19 03:06:41,257 wheel INFO adding 'pythondata_misc_tapcfg/data/buildall.sh' 2024-01-19 03:06:41,259 wheel INFO adding 'pythondata_misc_tapcfg/data/src/SConscript' 2024-01-19 03:06:41,260 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs' 2024-01-19 03:06:41,261 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs' 2024-01-19 03:06:41,262 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs' 2024-01-19 03:06:41,263 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config' 2024-01-19 03:06:41,264 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs' 2024-01-19 03:06:41,265 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs' 2024-01-19 03:06:41,266 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/client.c' 2024-01-19 03:06:41,267 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/client.h' 2024-01-19 03:06:41,268 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/daemon.c' 2024-01-19 03:06:41,269 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/daemon.h' 2024-01-19 03:06:41,269 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/main.c' 2024-01-19 03:06:41,270 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/serversock.c' 2024-01-19 03:06:41,271 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/serversock.h' 2024-01-19 03:06:41,272 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapdemo.c' 2024-01-19 03:06:41,273 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapserver.c' 2024-01-19 03:06:41,274 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapserver.h' 2024-01-19 03:06:41,275 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/threads.h' 2024-01-19 03:06:41,276 wheel INFO adding 'pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs' 2024-01-19 03:06:41,277 wheel INFO adding 'pythondata_misc_tapcfg/data/src/include/tapcfg.h' 2024-01-19 03:06:41,278 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/dlpi.c' 2024-01-19 03:06:41,279 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/dlpi.h' 2024-01-19 03:06:41,280 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg.c' 2024-01-19 03:06:41,281 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c' 2024-01-19 03:06:41,282 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h' 2024-01-19 03:06:41,283 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h' 2024-01-19 03:06:41,284 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h' 2024-01-19 03:06:41,285 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c' 2024-01-19 03:06:41,287 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h' 2024-01-19 03:06:41,288 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/taplog.c' 2024-01-19 03:06:41,289 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/taplog.h' 2024-01-19 03:06:41,290 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/METADATA' 2024-01-19 03:06:41,291 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/WHEEL' 2024-01-19 03:06:41,291 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/top_level.txt' 2024-01-19 03:06:41,294 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/RECORD' 2024-01-19 03:06:41,295 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:41,299 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl --> Building LiteX Hub module pythondata-software-compiler_rt 2024-01-19 03:06:42,381 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:42,601 root INFO running bdist_wheel 2024-01-19 03:06:42,678 root INFO running build 2024-01-19 03:06:42,678 root INFO running build_py 2024-01-19 03:06:42,689 root INFO creating build 2024-01-19 03:06:42,690 root INFO creating build/lib 2024-01-19 03:06:42,690 root INFO creating build/lib/pythondata_software_compiler_rt 2024-01-19 03:06:42,691 root INFO copying pythondata_software_compiler_rt/__init__.py -> build/lib/pythondata_software_compiler_rt 2024-01-19 03:06:42,705 root INFO creating build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:42,706 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib 2024-01-19 03:06:42,706 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:42,706 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:42,707 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:42,712 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:42,713 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:42,713 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:42,715 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:42,715 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:42,716 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:42,717 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:42,718 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:42,719 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 03:06:42,719 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 03:06:42,732 root INFO creating build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:42,733 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:42,733 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:42,733 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:42,734 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:42,735 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:42,756 root INFO running egg_info 2024-01-19 03:06:42,756 root INFO creating pythondata_software_compiler_rt.egg-info 2024-01-19 03:06:42,763 root INFO writing pythondata_software_compiler_rt.egg-info/PKG-INFO 2024-01-19 03:06:42,766 root INFO writing dependency_links to pythondata_software_compiler_rt.egg-info/dependency_links.txt 2024-01-19 03:06:42,767 root INFO writing top-level names to pythondata_software_compiler_rt.egg-info/top_level.txt 2024-01-19 03:06:42,768 root INFO writing manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-01-19 03:06:42,866 root INFO reading manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-01-19 03:06:42,867 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:42,992 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:43,142 root INFO writing manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-01-19 03:06:43,456 root INFO copying pythondata_software_compiler_rt/data/.arcconfig -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,457 root INFO copying pythondata_software_compiler_rt/data/.gitignore -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,458 root INFO copying pythondata_software_compiler_rt/data/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,459 root INFO copying pythondata_software_compiler_rt/data/CODE_OWNERS.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,460 root INFO copying pythondata_software_compiler_rt/data/CREDITS.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,461 root INFO copying pythondata_software_compiler_rt/data/LICENSE.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,461 root INFO copying pythondata_software_compiler_rt/data/Makefile -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,462 root INFO copying pythondata_software_compiler_rt/data/README.txt -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 03:06:43,463 root INFO creating build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,464 root INFO copying pythondata_software_compiler_rt/data/make/AppleBI.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,464 root INFO copying pythondata_software_compiler_rt/data/make/config.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,465 root INFO copying pythondata_software_compiler_rt/data/make/filter-inputs -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,466 root INFO copying pythondata_software_compiler_rt/data/make/lib_info.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,467 root INFO copying pythondata_software_compiler_rt/data/make/lib_platforms.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,468 root INFO copying pythondata_software_compiler_rt/data/make/lib_util.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,468 root INFO copying pythondata_software_compiler_rt/data/make/options.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,469 root INFO copying pythondata_software_compiler_rt/data/make/subdir.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,470 root INFO copying pythondata_software_compiler_rt/data/make/util.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:43,471 root INFO creating build/lib/pythondata_software_compiler_rt/data/include 2024-01-19 03:06:43,471 root INFO copying pythondata_software_compiler_rt/data/include/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/include 2024-01-19 03:06:43,472 root INFO creating build/lib/pythondata_software_compiler_rt/data/cmake 2024-01-19 03:06:43,472 root INFO copying pythondata_software_compiler_rt/data/cmake/config-ix.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake 2024-01-19 03:06:43,473 root INFO creating build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:43,474 root INFO copying pythondata_software_compiler_rt/data/www/content.css -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:43,474 root INFO copying pythondata_software_compiler_rt/data/www/index.html -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:43,475 root INFO copying pythondata_software_compiler_rt/data/www/menu.css -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:43,476 root INFO copying pythondata_software_compiler_rt/data/www/menu.html.incl -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:43,477 root INFO copying pythondata_software_compiler_rt/data/lib/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib 2024-01-19 03:06:43,478 root INFO copying pythondata_software_compiler_rt/data/lib/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib 2024-01-19 03:06:43,479 root INFO creating build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:43,479 root INFO copying pythondata_software_compiler_rt/data/unittests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:43,480 root INFO copying pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:43,481 root INFO copying pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:43,481 root INFO copying pythondata_software_compiler_rt/data/test/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:43,482 root INFO copying pythondata_software_compiler_rt/data/test/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:43,483 root INFO copying pythondata_software_compiler_rt/data/test/lit.common.configured.in -> build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:43,484 root INFO creating build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,484 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,485 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,486 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_linux.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,487 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,488 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,488 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,489 root INFO copying pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,490 root INFO copying pythondata_software_compiler_rt/data/make/platform/multi_arch.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:43,491 root INFO creating build/lib/pythondata_software_compiler_rt/data/make/test 2024-01-19 03:06:43,491 root INFO copying pythondata_software_compiler_rt/data/make/test/test-util.mk -> build/lib/pythondata_software_compiler_rt/data/make/test 2024-01-19 03:06:43,492 root INFO creating build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,492 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,493 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,494 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,495 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,495 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,496 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,497 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,498 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,499 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:43,499 root INFO creating build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:43,500 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:43,501 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:43,501 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:43,502 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:43,503 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:43,504 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:43,504 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,505 root INFO copying pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,506 root INFO copying pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,507 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,507 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,508 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,509 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,510 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,510 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,511 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,512 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,513 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,513 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,514 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,515 root INFO copying pythondata_software_compiler_rt/data/lib/profile/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:43,516 root INFO copying pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,516 root INFO copying pythondata_software_compiler_rt/data/lib/asan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,517 root INFO copying pythondata_software_compiler_rt/data/lib/asan/README.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,518 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,519 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,519 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,520 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,521 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,522 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,522 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,523 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,524 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,525 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,525 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,526 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,527 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,528 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,529 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,529 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,530 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,531 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,532 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,532 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,533 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_lock.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,534 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,535 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,535 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,536 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,537 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,538 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,538 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,539 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,540 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,541 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,541 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,542 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_report.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,543 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,544 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,545 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stack.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,545 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,546 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stats.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,547 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,548 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,548 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,549 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,550 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,551 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,552 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:43,552 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:43,553 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:43,553 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/Makefile.old -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:43,554 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:43,555 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:43,556 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:43,557 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:43,557 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 03:06:43,558 root INFO copying pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 03:06:43,558 root INFO copying pythondata_software_compiler_rt/data/lib/safestack/safestack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 03:06:43,559 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 03:06:43,560 root INFO copying pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 03:06:43,560 root INFO copying pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 03:06:43,561 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,562 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,562 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,563 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,564 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,565 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,565 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,566 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,567 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,568 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,568 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,569 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,570 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,571 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,571 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,572 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,573 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,574 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,574 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,575 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,576 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,577 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,577 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,578 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:43,579 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,580 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,580 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,581 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,582 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,583 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,583 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,584 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,585 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,586 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,586 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,587 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,588 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,589 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,590 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,590 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,591 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,592 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,593 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,594 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,595 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,595 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,596 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,597 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,598 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,599 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,599 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,600 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,601 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,602 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,602 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,603 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,604 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,605 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,606 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,606 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,607 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,608 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,609 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,609 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,610 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,611 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,612 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,612 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,613 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,614 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,615 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,616 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,616 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,617 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,618 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,619 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,619 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,620 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,621 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,622 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,622 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,623 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,624 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,625 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,626 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,627 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,627 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,628 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,629 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,630 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,630 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,631 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,632 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,633 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,633 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,634 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,635 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,636 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,636 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,637 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,638 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,639 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,639 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,640 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,641 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,642 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,643 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,643 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,644 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,645 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,646 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,647 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,647 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,648 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,649 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,650 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,650 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,651 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,652 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,653 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,653 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,654 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,655 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,656 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:43,657 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,657 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,658 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,658 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,659 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,660 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,661 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,661 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,662 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,663 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,664 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,665 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,665 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,666 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,667 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:43,668 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:43,668 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:43,669 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:43,670 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:43,670 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:43,671 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,672 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,673 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,673 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,674 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,675 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,676 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,676 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,677 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:43,678 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,679 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,679 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,680 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/README.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,681 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,682 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,682 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,683 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/adddf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,684 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,685 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,685 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,686 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,687 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,688 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,688 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,689 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,690 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,691 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,691 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/assembly.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,692 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,693 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,694 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,694 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,695 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,696 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,697 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,697 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,698 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,699 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,700 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,700 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,701 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,702 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,703 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,703 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,704 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,705 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,706 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,706 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,707 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,708 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,709 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,709 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,710 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,711 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,712 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,712 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,713 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,714 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divxc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,715 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/emutls.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,716 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,716 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/eprintf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,717 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,718 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,718 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,719 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,720 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,721 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,721 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,722 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,723 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,724 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,724 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,725 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,726 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,727 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,727 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,728 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,729 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,730 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,730 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,731 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,732 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,733 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,734 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,734 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,735 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,736 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,737 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,737 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,738 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,739 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,740 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,740 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,741 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,742 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,742 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,743 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,744 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,745 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,746 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,746 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,747 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,748 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,749 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,749 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,750 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,751 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,752 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,752 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,753 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,754 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,755 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,755 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,756 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,757 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,758 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,758 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,759 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,760 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,761 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,762 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,762 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_lib.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,763 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_math.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,764 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_types.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,765 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_util.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,765 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_util.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,766 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,767 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,768 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/moddi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,768 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/modsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,769 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/modti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,770 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,771 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,771 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,772 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,773 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,774 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muloti4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,774 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,775 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,776 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,777 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,777 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,778 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,779 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,780 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,780 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,781 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,782 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,783 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,783 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,784 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,785 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,786 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,787 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,787 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,788 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/parityti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,789 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,790 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,790 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,791 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powidf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,792 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powisf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,792 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powitf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,793 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powixf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,794 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subdf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,795 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,796 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,796 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,797 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,798 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,799 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,799 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,800 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,801 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,802 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,802 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,803 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,804 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,805 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,805 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,806 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,807 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,808 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,808 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,809 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,810 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,811 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umodti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:43,811 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,812 root INFO copying pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,812 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,813 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,814 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,815 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,816 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,816 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,817 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,818 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,819 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,819 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,820 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,821 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,822 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,823 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,823 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_origin.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,824 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,825 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,826 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,826 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,827 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:43,828 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,828 root INFO copying pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,829 root INFO copying pythondata_software_compiler_rt/data/lib/interception/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,830 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,830 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,831 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_linux.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,832 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,833 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,833 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,834 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,835 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_win.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:43,836 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,836 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,837 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,838 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,838 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,839 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,840 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,841 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,841 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,842 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,843 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,844 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,844 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,845 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,846 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,847 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,848 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,848 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,849 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,850 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,851 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:43,851 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:43,852 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:43,853 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 03:06:43,853 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 03:06:43,854 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:43,855 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:43,855 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:43,856 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:43,857 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:43,858 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:43,858 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/build.bat -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:43,859 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:43,859 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/test.c -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:43,860 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:43,861 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,861 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,862 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,863 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,864 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,864 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,865 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,866 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,867 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,867 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,868 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,869 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,870 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,870 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,871 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,872 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,873 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,874 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,874 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,875 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,876 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,877 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,877 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,878 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,879 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,880 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,881 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,881 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,882 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,883 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,884 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,884 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,885 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,886 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,887 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,887 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,888 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,889 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,890 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,891 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,891 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,892 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,893 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,894 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,894 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,895 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,896 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,897 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,898 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,898 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,899 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,900 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,901 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,901 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,902 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,903 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,904 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,904 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,905 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:43,906 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:43,906 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:43,907 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:43,908 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:43,909 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:43,909 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,910 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,910 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,911 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,912 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,913 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,913 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,914 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,915 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,916 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,916 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:43,917 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,918 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,918 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,919 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,920 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,921 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,921 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,922 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,923 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,924 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,924 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,925 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,926 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:43,927 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,927 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,928 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,929 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,930 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,930 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,931 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,932 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,933 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,933 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,934 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,935 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,936 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,936 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,937 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,938 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,939 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,940 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,940 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,941 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,942 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,942 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,943 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,944 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,945 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,946 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,946 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,947 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,948 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,949 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,949 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,950 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,951 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,952 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:43,952 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:43,953 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 03:06:43,954 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 03:06:43,955 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 03:06:43,955 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 03:06:43,956 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,956 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,957 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,958 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,959 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,959 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,960 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,961 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,962 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,963 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,963 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,964 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,965 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,966 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,966 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,967 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,968 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:43,968 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:43,969 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:43,970 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:43,970 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:43,971 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:43,972 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:43,973 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:43,973 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,974 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,974 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,975 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,976 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,977 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,977 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,978 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,979 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,980 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,980 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,981 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,982 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,983 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,983 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,984 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,985 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,986 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,986 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,987 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,988 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,989 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,989 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,990 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,991 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,992 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,992 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,993 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,994 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,995 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,995 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,996 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,997 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,998 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,998 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:43,999 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,000 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,001 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,001 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,002 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,003 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,004 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,004 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,005 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,006 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,007 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,007 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,008 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,009 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,010 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,010 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,011 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,012 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,013 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,013 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,014 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,015 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,016 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,016 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,017 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,018 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,019 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,019 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,020 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,021 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,022 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,022 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,023 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,024 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,025 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,025 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,026 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,027 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,028 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,028 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,029 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,030 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,031 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,031 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,032 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,033 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,034 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,034 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,035 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,036 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,037 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,037 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,038 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,039 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,040 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,040 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,041 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,042 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:44,043 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,043 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,044 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,045 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,045 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,046 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,047 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,048 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,048 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,049 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:44,050 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,050 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,051 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,052 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,052 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,053 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,054 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,055 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,055 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,056 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,057 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,058 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,058 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,059 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,060 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,061 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,061 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,062 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:44,063 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,063 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,064 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,065 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,065 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,066 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,067 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,068 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,068 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,069 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,070 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,071 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,071 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,072 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,073 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:44,074 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 03:06:44,074 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 03:06:44,075 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:44,075 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:44,076 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:44,077 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:44,078 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:44,078 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:44,079 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,080 root INFO copying pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,080 root INFO copying pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,081 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,082 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,083 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,083 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,084 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,085 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,086 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,086 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,087 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,088 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,089 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,089 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,090 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,091 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,091 root INFO copying pythondata_software_compiler_rt/data/test/profile/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,092 root INFO copying pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:44,093 root INFO copying pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:44,094 root INFO copying pythondata_software_compiler_rt/data/test/asan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:44,095 root INFO copying pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:44,095 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,096 root INFO copying pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,096 root INFO copying pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,097 root INFO copying pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,098 root INFO copying pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,099 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,099 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atexit2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,100 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,101 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,102 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,102 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,103 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,104 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,104 root INFO copying pythondata_software_compiler_rt/data/test/tsan/barrier.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,105 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,106 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,107 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,107 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,108 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,109 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,110 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,110 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,111 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,112 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,113 root INFO copying pythondata_software_compiler_rt/data/test/tsan/benign_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,113 root INFO copying pythondata_software_compiler_rt/data/test/tsan/blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,114 root INFO copying pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,115 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,116 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,116 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,117 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,118 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_version.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,119 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,119 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,120 root INFO copying pythondata_software_compiler_rt/data/test/tsan/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,121 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deflake.bash -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,122 root INFO copying pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,122 root INFO copying pythondata_software_compiler_rt/data/test/tsan/dlclose.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,123 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,124 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,125 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,125 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,126 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,127 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_location.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,128 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,128 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,129 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,130 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,131 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,131 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,132 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,133 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,134 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,134 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,135 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,136 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,136 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,137 root INFO copying pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,138 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,139 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,140 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,140 root INFO copying pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,141 root INFO copying pythondata_software_compiler_rt/data/test/tsan/heap_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,142 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,142 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,143 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,144 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,145 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,145 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,146 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,147 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,148 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,148 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,149 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,150 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,151 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,151 root INFO copying pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,152 root INFO copying pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,153 root INFO copying pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,154 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,154 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,155 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,156 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,157 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,157 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,158 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,159 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,160 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,160 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,161 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,162 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,163 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,163 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,164 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,165 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,166 root INFO copying pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,166 root INFO copying pythondata_software_compiler_rt/data/test/tsan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,167 root INFO copying pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,168 root INFO copying pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,169 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,169 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,170 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,171 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,172 root INFO copying pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,172 root INFO copying pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,173 root INFO copying pythondata_software_compiler_rt/data/test/tsan/map32bit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,174 root INFO copying pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,175 root INFO copying pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,175 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,176 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,177 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop1.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,178 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,178 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,179 root INFO copying pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,180 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,181 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,181 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,182 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,183 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,184 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,184 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,185 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,186 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,187 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,187 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,188 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,189 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,190 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,190 root INFO copying pythondata_software_compiler_rt/data/test/tsan/printf-1.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,191 root INFO copying pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,192 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,193 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,193 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,194 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,195 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,196 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,196 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,197 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,198 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,199 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,199 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,200 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,201 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,202 root INFO copying pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,203 root INFO copying pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,203 root INFO copying pythondata_software_compiler_rt/data/test/tsan/setuid.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,204 root INFO copying pythondata_software_compiler_rt/data/test/tsan/setuid2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,205 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,205 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,206 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,207 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,208 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,208 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,209 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,210 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,211 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_write.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,211 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,212 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,213 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,214 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_stack.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,214 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,215 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,216 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,217 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,217 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,218 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,219 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,220 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,221 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,221 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,222 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init5.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,223 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init6.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,224 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,224 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,225 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,226 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,226 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,227 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,228 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,229 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,230 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,230 root INFO copying pythondata_software_compiler_rt/data/test/tsan/test.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,231 root INFO copying pythondata_software_compiler_rt/data/test/tsan/test_output.sh -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,232 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_detach.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,233 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,233 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,234 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,235 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,236 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,236 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,237 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,238 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,239 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,239 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_name.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,240 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,241 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tiny_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,242 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tls_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,242 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,243 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,244 root INFO copying pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,245 root INFO copying pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,245 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vfork.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,246 root INFO copying pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,247 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,248 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,248 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,249 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,250 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,251 root INFO copying pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:44,251 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,252 root INFO copying pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,253 root INFO copying pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,253 root INFO copying pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,254 root INFO copying pythondata_software_compiler_rt/data/test/safestack/init.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,255 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,255 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,256 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lto.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,257 root INFO copying pythondata_software_compiler_rt/data/test/safestack/overflow.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,258 root INFO copying pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,258 root INFO copying pythondata_software_compiler_rt/data/test/safestack/pthread.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,259 root INFO copying pythondata_software_compiler_rt/data/test/safestack/utils.h -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:44,260 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,260 root INFO copying pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,261 root INFO copying pythondata_software_compiler_rt/data/test/cfi/README.txt -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,262 root INFO copying pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,263 root INFO copying pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,263 root INFO copying pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,264 root INFO copying pythondata_software_compiler_rt/data/test/cfi/create-derivers.test -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,265 root INFO copying pythondata_software_compiler_rt/data/test/cfi/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,266 root INFO copying pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,266 root INFO copying pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,267 root INFO copying pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,268 root INFO copying pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,269 root INFO copying pythondata_software_compiler_rt/data/test/cfi/sibling.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,269 root INFO copying pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,270 root INFO copying pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,271 root INFO copying pythondata_software_compiler_rt/data/test/cfi/utils.h -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,272 root INFO copying pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:44,272 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:44,273 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:44,274 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:44,274 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:44,275 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:44,275 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:44,276 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:44,277 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:44,278 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:44,278 root INFO copying pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:44,279 root INFO copying pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:44,280 root INFO copying pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:44,280 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,281 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,282 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,282 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,283 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,284 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,285 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,285 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,286 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,287 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,288 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,288 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,289 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,290 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,291 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,291 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,292 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,293 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,293 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,294 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,295 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,296 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,297 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,297 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,298 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,299 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,300 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,300 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,301 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,302 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,303 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,303 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,304 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,305 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,306 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,306 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,307 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,308 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,309 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,309 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,310 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,311 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,312 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,312 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,313 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,314 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,315 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,315 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,316 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,317 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,318 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,318 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,319 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,320 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,321 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:44,321 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,322 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,323 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/basic.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,323 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/custom.cc -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,324 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,325 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/flags.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,326 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/fncall.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,326 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/label_count.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,327 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,328 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,329 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/propagate.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,329 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/vararg.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,330 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/write_callback.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:44,331 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,331 root INFO copying pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,332 root INFO copying pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,333 root INFO copying pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,333 root INFO copying pythondata_software_compiler_rt/data/test/msan/backtrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,334 root INFO copying pythondata_software_compiler_rt/data/test/msan/c-strdup.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,335 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,336 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,336 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,337 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,338 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,339 root INFO copying pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,339 root INFO copying pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,340 root INFO copying pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,341 root INFO copying pythondata_software_compiler_rt/data/test/msan/death-callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,342 root INFO copying pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,342 root INFO copying pythondata_software_compiler_rt/data/test/msan/dlerror.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,343 root INFO copying pythondata_software_compiler_rt/data/test/msan/dso-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,344 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtls_test.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,345 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,345 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,346 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,347 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-member.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,348 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,348 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,349 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,350 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,351 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,351 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,352 root INFO copying pythondata_software_compiler_rt/data/test/msan/errno.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,353 root INFO copying pythondata_software_compiler_rt/data/test/msan/fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,354 root INFO copying pythondata_software_compiler_rt/data/test/msan/ftime.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,354 root INFO copying pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,355 root INFO copying pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,356 root INFO copying pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,357 root INFO copying pythondata_software_compiler_rt/data/test/msan/getline.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,357 root INFO copying pythondata_software_compiler_rt/data/test/msan/heap-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,358 root INFO copying pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,359 root INFO copying pythondata_software_compiler_rt/data/test/msan/iconv.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,360 root INFO copying pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,360 root INFO copying pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,361 root INFO copying pythondata_software_compiler_rt/data/test/msan/initgroups.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,362 root INFO copying pythondata_software_compiler_rt/data/test/msan/inline.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,363 root INFO copying pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,363 root INFO copying pythondata_software_compiler_rt/data/test/msan/ioctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,364 root INFO copying pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,365 root INFO copying pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,366 root INFO copying pythondata_software_compiler_rt/data/test/msan/keep-going.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,366 root INFO copying pythondata_software_compiler_rt/data/test/msan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,367 root INFO copying pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,368 root INFO copying pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,369 root INFO copying pythondata_software_compiler_rt/data/test/msan/mktime.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,369 root INFO copying pythondata_software_compiler_rt/data/test/msan/mmap.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,370 root INFO copying pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,371 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,372 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,372 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,373 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,374 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,375 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,375 root INFO copying pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,376 root INFO copying pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,377 root INFO copying pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,378 root INFO copying pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,378 root INFO copying pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,379 root INFO copying pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,380 root INFO copying pythondata_software_compiler_rt/data/test/msan/print_stats.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,381 root INFO copying pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,381 root INFO copying pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,382 root INFO copying pythondata_software_compiler_rt/data/test/msan/rand_r.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,383 root INFO copying pythondata_software_compiler_rt/data/test/msan/readdir64.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,384 root INFO copying pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,384 root INFO copying pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,385 root INFO copying pythondata_software_compiler_rt/data/test/msan/report-demangling.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,386 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,387 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,387 root INFO copying pythondata_software_compiler_rt/data/test/msan/select.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,388 root INFO copying pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,389 root INFO copying pythondata_software_compiler_rt/data/test/msan/select_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,390 root INFO copying pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,390 root INFO copying pythondata_software_compiler_rt/data/test/msan/setlocale.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,391 root INFO copying pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,392 root INFO copying pythondata_software_compiler_rt/data/test/msan/sigwait.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,392 root INFO copying pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,393 root INFO copying pythondata_software_compiler_rt/data/test/msan/stack-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,394 root INFO copying pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,395 root INFO copying pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,395 root INFO copying pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,396 root INFO copying pythondata_software_compiler_rt/data/test/msan/strxfrm.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,397 root INFO copying pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,398 root INFO copying pythondata_software_compiler_rt/data/test/msan/test.h -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,398 root INFO copying pythondata_software_compiler_rt/data/test/msan/textdomain.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,399 root INFO copying pythondata_software_compiler_rt/data/test/msan/times.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,400 root INFO copying pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,401 root INFO copying pythondata_software_compiler_rt/data/test/msan/tsearch.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,401 root INFO copying pythondata_software_compiler_rt/data/test/msan/tzset.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,402 root INFO copying pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,403 root INFO copying pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,404 root INFO copying pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,404 root INFO copying pythondata_software_compiler_rt/data/test/msan/use-after-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,405 root INFO copying pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,406 root INFO copying pythondata_software_compiler_rt/data/test/msan/vector_select.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:44,407 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,407 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,408 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,409 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,409 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,410 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,411 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,412 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,412 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:44,413 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 03:06:44,414 root INFO copying pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 03:06:44,414 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,415 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,415 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,416 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,417 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,418 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,418 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,419 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,420 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,421 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,421 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,422 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,423 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,424 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,424 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,425 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,426 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,427 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,427 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,428 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,429 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,430 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,430 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,431 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,432 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,433 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,433 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,434 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,435 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,436 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,436 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,437 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,438 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,439 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,439 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,440 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,441 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,441 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,442 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,443 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,444 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,444 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,445 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,446 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,447 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,447 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,448 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,449 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,450 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,450 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,451 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,452 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,453 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,453 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,454 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,455 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,456 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,456 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,457 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,458 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,459 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,460 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,460 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,461 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,462 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,463 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,463 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,464 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,465 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,465 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,466 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,467 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,468 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,469 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,469 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,470 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,471 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,472 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,472 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,473 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,474 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,475 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,475 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,476 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,477 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,478 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,478 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,479 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,480 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,481 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,481 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,482 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,483 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,484 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,484 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,485 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,486 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,486 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,487 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,488 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,489 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,490 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,490 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,491 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,492 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,492 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,493 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,494 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,495 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,495 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,496 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,497 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,498 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,498 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,499 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,500 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,501 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,501 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,502 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,503 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,504 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,504 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,505 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,506 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,507 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,507 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,508 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,509 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,510 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,510 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,511 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,512 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,513 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,513 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,514 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:44,515 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,515 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,516 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,517 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,518 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,518 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,519 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,520 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,521 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,521 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,522 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,523 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:44,524 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,524 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,525 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,525 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,526 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,527 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,528 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,529 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,529 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,530 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,531 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,532 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,532 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,533 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,534 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,535 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,535 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,536 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,537 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,538 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,538 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,539 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,540 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,540 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,541 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,542 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,543 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,543 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,544 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,545 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,546 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,546 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,547 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,548 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,549 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,549 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,550 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,551 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,552 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,552 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,553 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,554 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,555 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,555 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,556 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:44,557 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 03:06:44,557 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 03:06:44,558 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 03:06:44,559 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,559 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,560 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,561 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,561 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,562 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,563 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,564 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,564 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,565 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,566 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,567 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,567 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,568 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,569 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,570 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,570 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,571 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,572 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,573 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,573 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,574 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,575 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,576 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:44,576 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,577 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,578 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,578 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,579 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,580 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,580 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,581 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,582 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,583 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,583 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,584 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,585 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,586 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,586 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,587 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,588 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,589 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,589 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,590 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,591 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,592 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,592 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,593 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,594 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,595 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,595 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,596 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,597 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,598 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,598 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,599 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,600 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,601 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,601 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,602 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,603 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,604 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,605 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,605 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,606 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,607 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,608 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,608 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,609 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,610 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,611 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,611 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,612 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,613 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,614 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,614 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,615 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,616 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,617 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,617 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,618 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,619 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,620 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,620 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,621 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,622 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,623 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,623 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,624 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,625 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,626 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,626 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,627 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,628 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,629 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,629 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,630 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,631 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,632 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,632 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,633 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,634 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,635 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,635 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,636 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,637 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,638 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,638 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,639 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,640 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,641 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,641 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,642 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,643 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,644 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,644 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,645 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:44,646 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,646 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,647 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,648 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,648 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,649 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,650 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,651 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,651 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,652 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,653 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,654 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,654 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,655 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,656 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,657 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,658 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,658 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,659 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,660 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,660 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,661 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,662 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,663 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,664 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,664 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,665 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,666 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,667 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,667 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,668 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,669 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,670 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,670 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,671 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,672 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,673 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,673 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,674 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,675 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,676 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,676 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,677 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,678 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,679 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,679 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,680 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,681 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,682 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:44,682 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:44,683 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:44,683 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:44,684 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:44,685 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 03:06:44,685 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 03:06:44,686 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 03:06:44,686 root INFO copying pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 03:06:44,687 root INFO copying pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 03:06:44,688 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:44,688 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:44,689 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:44,690 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:44,691 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:44,691 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:44,692 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:44,693 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:44,693 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:44,694 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:44,695 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases 2024-01-19 03:06:44,695 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:44,696 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:44,696 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:44,697 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:44,698 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:44,699 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:44,699 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,700 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,700 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,701 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,702 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,703 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,703 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,704 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,705 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,706 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,706 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,707 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,708 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,709 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,709 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:44,710 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 03:06:44,711 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 03:06:44,711 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,712 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,713 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,713 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,714 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,715 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,716 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,716 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,717 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,718 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,719 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,719 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:44,720 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 03:06:44,720 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 03:06:44,721 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 03:06:44,722 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 03:06:44,722 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 03:06:44,723 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 03:06:44,724 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 03:06:44,724 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 03:06:44,725 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,725 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,726 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,727 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,728 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,728 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,729 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,730 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,731 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,731 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,732 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,733 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,734 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:44,734 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 03:06:44,735 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 03:06:44,736 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 03:06:44,736 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 03:06:44,737 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 03:06:44,737 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 03:06:44,738 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,739 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,739 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,740 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,741 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,742 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,742 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,743 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,744 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,745 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,745 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,746 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,747 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,748 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,748 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,749 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,750 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,751 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,751 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:44,752 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,752 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,753 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,754 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,755 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,756 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,756 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,757 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,758 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,758 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,759 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,760 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,761 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,762 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,762 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,763 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,764 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,764 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,765 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,766 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,767 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,768 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,768 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,769 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,770 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,771 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,771 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,772 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,773 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,774 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,774 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,775 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,776 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,777 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,777 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,778 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:44,779 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 03:06:44,779 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 03:06:44,780 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins 2024-01-19 03:06:44,780 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,781 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,782 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,782 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,783 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,784 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,785 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,785 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,786 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,787 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,788 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,788 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,789 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,790 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,791 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,791 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,792 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,793 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,794 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,794 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,795 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,796 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,797 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,798 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,798 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,799 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,800 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,801 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,801 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,802 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,803 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,804 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,804 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,805 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,806 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,807 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,807 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,808 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,809 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,810 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,810 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,811 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,812 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,813 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,813 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,814 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,815 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,816 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,816 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,817 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,818 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,819 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,819 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,820 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,821 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,822 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,822 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,823 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,824 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,825 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,825 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,826 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,827 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,828 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,828 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,829 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,830 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,831 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,831 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,832 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,833 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,834 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,834 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,835 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,836 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,837 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,837 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,838 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,839 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,840 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,840 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,841 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,842 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,843 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,843 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,844 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,845 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,846 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,847 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,847 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,848 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,849 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,850 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,850 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,851 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,852 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,853 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,853 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,854 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,855 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,856 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,857 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,857 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,858 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,859 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,860 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,860 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,861 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,862 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,863 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,863 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,864 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,865 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,866 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,866 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,867 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,868 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,869 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,869 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,870 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,871 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,872 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,873 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,873 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,874 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,875 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,876 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,876 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,877 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,878 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,879 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,879 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,880 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,881 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,882 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,883 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,883 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,884 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,885 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,886 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,886 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,887 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,888 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,889 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,889 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,890 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,891 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,892 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,892 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,893 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,894 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,895 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,895 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,896 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,897 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,898 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/test -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,898 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,899 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,900 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,901 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,901 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,902 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,903 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,904 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,904 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,905 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,906 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,910 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,911 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,943 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,944 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,945 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,946 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,947 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,947 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,948 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,949 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:44,950 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,950 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,951 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,952 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,953 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,953 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,954 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,955 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,956 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,957 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,958 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,958 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,959 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,960 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,961 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,962 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/time -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,962 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/timing.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,963 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,964 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:44,965 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,965 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,966 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,967 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,968 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,968 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,969 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,970 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,971 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:44,972 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,972 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,973 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,974 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,975 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,981 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,981 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,986 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,987 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,988 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,989 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,990 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:44,991 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 03:06:44,991 root INFO copying pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 03:06:44,992 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:44,993 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:44,993 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:44,994 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:44,995 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:44,995 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:44,996 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:44,997 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:44,997 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:44,998 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:44,999 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,000 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,000 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,001 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,002 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,003 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,003 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,004 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,005 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,006 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,006 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,007 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,008 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:45,009 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 03:06:45,009 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 03:06:45,010 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:45,010 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:45,011 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:45,012 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:45,090 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:45,090 root INFO running install 2024-01-19 03:06:45,154 root INFO running install_lib 2024-01-19 03:06:45,163 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:45,163 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:45,164 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt 2024-01-19 03:06:45,164 root INFO copying build/lib/pythondata_software_compiler_rt/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt 2024-01-19 03:06:45,165 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:45,166 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,166 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/config.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,167 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_util.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,168 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,168 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,169 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,170 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,170 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_linux.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,171 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,172 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,173 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,173 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/multi_arch.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 03:06:45,174 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/util.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,175 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/filter-inputs -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,175 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_platforms.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,176 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_info.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,177 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/AppleBI.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,177 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/subdir.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,178 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/test 2024-01-19 03:06:45,178 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/test/test-util.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/test 2024-01-19 03:06:45,179 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/options.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 03:06:45,180 root INFO copying build/lib/pythondata_software_compiler_rt/data/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:45,181 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include 2024-01-19 03:06:45,181 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include 2024-01-19 03:06:45,182 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,182 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,183 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,184 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,185 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,185 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,186 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,187 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,187 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,188 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 03:06:45,189 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake 2024-01-19 03:06:45,189 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:45,190 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:45,191 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:45,191 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:45,192 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:45,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:45,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 03:06:45,194 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/config-ix.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake 2024-01-19 03:06:45,195 root INFO copying build/lib/pythondata_software_compiler_rt/data/CODE_OWNERS.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:45,195 root INFO copying build/lib/pythondata_software_compiler_rt/data/CREDITS.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:45,196 root INFO copying build/lib/pythondata_software_compiler_rt/data/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:45,197 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:45,197 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/content.css -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:45,198 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/index.html -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:45,198 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/menu.css -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:45,199 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/menu.html.incl -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 03:06:45,200 root INFO copying build/lib/pythondata_software_compiler_rt/data/.arcconfig -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:45,201 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib 2024-01-19 03:06:45,201 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,202 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,202 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,203 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,204 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,204 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,205 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,206 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,206 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,207 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,207 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,208 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,209 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,209 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,210 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 03:06:45,211 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,211 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_lock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,212 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,214 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,215 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,215 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,216 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,217 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,217 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,218 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,219 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_thread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,220 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stats.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,220 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,221 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,222 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,222 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,223 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,224 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,224 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,225 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,226 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stack.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,226 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,227 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,228 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,228 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,229 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,230 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,230 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,231 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,232 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,232 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,233 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,234 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,234 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,235 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,236 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,236 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,237 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,238 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,239 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,239 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,240 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,241 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 03:06:45,241 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,242 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,243 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,243 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,244 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,245 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,245 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,246 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_report.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,248 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,249 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,249 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,250 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,251 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,251 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,252 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,253 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,253 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,254 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,255 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,255 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,256 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,257 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,258 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 03:06:45,258 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:45,259 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:45,259 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:45,260 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 03:06:45,261 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib 2024-01-19 03:06:45,261 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib 2024-01-19 03:06:45,262 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:45,263 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:45,263 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:45,264 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:45,265 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 03:06:45,265 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 03:06:45,266 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,266 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,267 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,268 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,268 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,269 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,270 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,270 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,271 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,272 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,272 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 03:06:45,273 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,274 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,274 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,275 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,276 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,276 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,277 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,278 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,278 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,279 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,280 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,280 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,281 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 03:06:45,282 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:45,282 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:45,283 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:45,283 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:45,284 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 03:06:45,285 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:45,285 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/build.bat -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:45,286 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:45,287 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:45,287 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 03:06:45,288 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/Makefile.old -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:45,289 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,289 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,290 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,291 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,291 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,292 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,293 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,293 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,294 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,295 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,295 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,296 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,297 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,298 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,298 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,299 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,300 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,300 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,301 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,302 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,302 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,303 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,304 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,304 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,305 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,306 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,306 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,307 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,308 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,308 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,309 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,310 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,310 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,311 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,312 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,312 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,313 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,314 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,314 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,315 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,316 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,316 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,317 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,318 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,319 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,319 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,320 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,321 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,321 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,322 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,323 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,323 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,324 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,325 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,325 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,326 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,327 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,327 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,328 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 03:06:45,329 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:45,329 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:45,330 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:45,331 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:45,331 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:45,332 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 03:06:45,333 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 03:06:45,333 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 03:06:45,334 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 03:06:45,335 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/safestack/safestack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 03:06:45,335 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 03:06:45,336 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 03:06:45,336 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 03:06:45,337 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,338 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,338 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,339 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,340 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,340 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,341 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,342 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,342 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,343 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,344 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,344 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,345 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,346 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,346 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,347 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,348 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,348 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,349 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,350 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,350 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,351 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,352 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,352 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 03:06:45,353 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,354 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,355 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,355 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,356 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,357 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,357 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,358 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,359 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,359 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,360 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,361 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,361 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,362 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,363 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,363 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,364 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,365 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,366 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,366 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,367 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,368 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,368 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,369 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,370 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,370 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,371 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,372 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,372 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,373 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,374 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,374 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,375 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,376 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,376 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,377 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,378 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,378 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,379 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,380 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,380 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,381 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,382 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,382 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,383 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,384 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,384 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,385 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,386 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,386 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,387 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,388 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,388 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,389 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,390 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,391 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,391 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 03:06:45,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,405 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,405 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,406 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,407 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,407 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,408 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,409 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,410 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,410 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,411 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,412 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,412 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,413 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,414 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,415 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,415 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,416 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,417 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,417 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,418 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,419 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,419 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,420 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,421 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,422 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,422 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,423 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,424 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,424 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,425 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,429 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,430 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,431 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,431 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,432 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,433 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,433 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,438 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,440 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,440 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,441 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,443 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,444 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:45,445 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:45,446 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:45,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:45,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:45,448 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:45,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 03:06:45,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 03:06:45,451 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 03:06:45,461 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:45,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:45,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:45,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:45,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 03:06:45,464 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,466 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,467 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,467 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,468 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,469 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,469 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,470 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 03:06:45,471 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 03:06:45,471 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 03:06:45,472 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 03:06:45,473 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,474 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,474 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,479 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subtf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powixf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/assembly.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negdf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divxc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powidf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,495 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 03:06:45,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 03:06:45,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,501 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 03:06:45,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_util.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,520 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,520 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subdf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addtf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/emutls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/parityti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powitf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,542 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divtf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,548 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:45,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:45,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:45,550 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:45,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:45,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:45,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 03:06:45,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/adddf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/moddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,563 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,572 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,586 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,587 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,587 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,590 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,594 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,596 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,597 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,597 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,598 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,600 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,602 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,607 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,609 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,611 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,613 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,614 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,614 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,616 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,617 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,617 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,620 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,624 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 03:06:45,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,626 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/modti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/eprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,629 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,629 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,630 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powisf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,633 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_util.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,637 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,638 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,640 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,642 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 03:06:45,645 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,645 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,646 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,650 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,652 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,656 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 03:06:45,657 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,657 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,658 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,658 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,659 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,660 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,660 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,662 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,662 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,663 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,665 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,666 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 03:06:45,666 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muloti4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,667 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,668 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,668 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,669 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,671 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_lib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,673 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,675 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,679 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umodti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,680 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 03:06:45,681 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 03:06:45,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,685 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,686 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,686 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,687 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,689 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/modsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,691 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,692 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,692 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,693 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,695 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,698 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,698 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 03:06:45,699 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,701 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,701 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_thread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,703 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,704 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:45,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:45,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:45,706 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:45,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:45,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 03:06:45,708 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,711 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,715 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,717 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_origin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 03:06:45,718 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,719 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_mac.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,723 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_win.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_linux.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 03:06:45,725 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:45,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:45,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:45,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 03:06:45,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/LICENSE.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:45,728 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:45,729 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,729 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,734 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,735 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,735 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,736 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,740 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,741 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,741 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,745 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 03:06:45,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 03:06:45,747 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:45,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:45,748 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:45,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:45,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:45,750 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 03:06:45,751 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 03:06:45,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 03:06:45,752 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:45,753 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 03:06:45,754 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,756 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,758 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,762 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,764 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,768 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,770 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 03:06:45,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,775 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,776 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,777 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,777 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,780 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,781 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,781 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,784 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,786 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,788 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,790 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,792 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,794 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,799 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,813 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,817 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,817 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,821 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,823 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,824 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:45,824 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:45,825 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:45,825 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 03:06:45,826 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,827 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,827 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,828 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,829 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,829 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,830 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,831 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,831 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,832 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,833 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,833 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,834 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,835 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,835 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,836 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,837 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,837 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,838 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,839 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,839 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,840 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,841 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,841 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,842 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,843 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,843 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,844 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,845 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,845 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,846 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,847 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,847 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,848 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 03:06:45,849 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,849 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,850 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,851 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,851 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,852 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,853 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,853 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,854 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 03:06:45,855 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 03:06:45,855 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 03:06:45,856 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,857 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,857 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,858 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,859 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,859 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,860 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,861 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,861 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,862 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,863 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,863 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,864 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,865 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,865 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,866 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,867 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,867 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,868 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,869 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,869 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,870 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,871 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,871 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,872 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,872 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,873 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,874 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,874 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,875 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,876 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,876 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,877 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,878 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,878 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,879 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,880 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,880 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,881 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,882 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,882 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,883 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,884 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 03:06:45,884 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,885 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,886 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,886 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,887 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,888 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,888 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,889 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,890 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,890 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,891 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,892 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,892 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,893 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,894 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,894 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,895 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,896 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,896 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,897 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,898 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,898 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,899 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,900 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,900 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,901 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,902 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,902 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,903 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,904 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,904 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,905 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,906 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,906 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,907 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,908 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,908 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,909 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,910 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,910 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,911 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,912 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,912 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,913 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,914 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,914 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,915 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,916 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,916 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,917 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,918 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,918 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,919 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,920 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,920 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,921 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,922 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,922 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,923 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,924 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,924 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,925 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,926 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,926 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,927 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,928 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,928 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,929 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,930 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,930 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,931 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,932 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,932 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,933 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,934 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,934 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,935 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,936 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,936 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,937 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,938 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,938 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,939 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,940 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,940 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,941 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,942 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,942 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,943 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,944 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,944 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,945 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,946 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,947 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,947 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,948 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,949 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,949 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,950 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,951 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 03:06:45,951 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,952 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,953 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,953 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,954 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,955 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,955 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,956 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,957 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,957 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,958 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,959 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,959 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,960 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,961 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,961 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,962 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,963 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,963 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,964 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,965 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,965 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,966 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,967 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,967 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,968 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,969 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,969 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,970 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,971 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,971 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,972 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,973 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,973 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,974 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,974 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,975 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,976 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,976 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,977 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,978 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,978 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,979 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,980 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,980 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,981 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,982 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,982 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,983 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,984 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,984 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,985 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,986 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,986 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,987 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,988 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,988 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,989 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,990 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,990 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,991 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,992 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,992 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 03:06:45,993 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,994 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,995 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,995 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 03:06:45,996 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:45,997 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:45,997 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:45,998 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/test_output.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:45,999 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:45,999 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,000 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,001 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,001 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,002 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,003 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,003 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atexit2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,004 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,005 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,005 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,006 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,007 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,007 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,008 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,009 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,009 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,010 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,011 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/setuid.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,011 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,012 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,013 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,013 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,014 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,015 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,015 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tiny_race.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,016 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,017 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,017 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,018 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,019 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 03:06:46,019 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 03:06:46,020 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,020 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,021 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,022 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,023 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,023 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,024 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,025 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,025 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tls_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,026 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deflake.bash -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,027 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,027 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,028 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,029 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,029 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,030 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_write.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,031 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,031 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,032 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/benign_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,033 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,033 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,034 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_race.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,035 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,035 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,036 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,036 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,037 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,038 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,038 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,039 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,040 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,040 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_detach.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,041 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,042 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,042 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,043 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,044 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vfork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,044 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,045 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,046 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,046 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,047 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,048 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,048 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,049 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,050 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/printf-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,050 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,051 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,052 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,052 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,053 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,054 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,054 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,055 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,056 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,056 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,057 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,058 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,058 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,059 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,060 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,060 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,061 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,062 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,062 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,063 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,064 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,064 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,065 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,066 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,066 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,067 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,067 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,068 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,069 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,069 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,070 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,071 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,071 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,072 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/heap_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,073 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,074 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,074 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,075 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,076 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/dlclose.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,076 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,077 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/barrier.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,078 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,078 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,079 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,079 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,080 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,081 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,081 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,082 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,083 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,083 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,084 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,085 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,085 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,086 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,087 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,087 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,088 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,089 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,090 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,090 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,091 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,091 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,092 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,093 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,094 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,094 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,095 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,096 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_stack.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,096 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,097 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,098 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_location.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,098 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,099 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_stress.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,100 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,100 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,101 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,102 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,102 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,103 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,104 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,104 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,105 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,106 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,106 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,107 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,108 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,108 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_version.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,109 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,110 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,110 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,111 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,112 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,112 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,113 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,114 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 03:06:46,114 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 03:06:46,115 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 03:06:46,115 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init6.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,116 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,117 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,117 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init5.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,118 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,119 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,119 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,120 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,121 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,121 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,122 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,123 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,123 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,124 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,125 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/setuid2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,125 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,126 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,127 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,127 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,128 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,129 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,129 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/default_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,130 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,131 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,131 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,132 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/map32bit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,133 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,133 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,134 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,135 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:46,135 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:46,136 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:46,136 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:46,137 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:46,138 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 03:06:46,138 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,139 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_name.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,140 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,141 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,141 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,142 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 03:06:46,143 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,143 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,144 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,144 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lto.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,145 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,146 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/pthread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,146 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/overflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,147 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,148 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,148 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,149 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/init.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,150 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 03:06:46,150 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lit.common.configured.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:46,151 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,151 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,152 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,153 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/sibling.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,153 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/create-derivers.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,154 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,155 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,155 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,156 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,157 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,158 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:46,158 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:46,159 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:46,159 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 03:06:46,160 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,161 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,161 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,162 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,163 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,163 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,164 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 03:06:46,165 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:46,165 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:46,166 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:46,167 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases 2024-01-19 03:06:46,167 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:46,168 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:46,168 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 03:06:46,169 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 03:06:46,169 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 03:06:46,170 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:46,171 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:46,171 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:46,172 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 03:06:46,173 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,173 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,174 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,175 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,175 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,176 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,177 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,177 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,178 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,179 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,179 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,180 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,181 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,181 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,182 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 03:06:46,183 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 03:06:46,183 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 03:06:46,184 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,184 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,185 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,186 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,186 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,187 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,188 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,188 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,189 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,190 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,190 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,191 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 03:06:46,191 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 03:06:46,192 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 03:06:46,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 03:06:46,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 03:06:46,194 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:46,195 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:46,195 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 03:06:46,196 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 03:06:46,197 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:46,197 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,198 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,198 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,199 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,200 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,200 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,201 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,202 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,202 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,203 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 03:06:46,204 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 03:06:46,204 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 03:06:46,205 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,205 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,206 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,207 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 03:06:46,208 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 03:06:46,208 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 03:06:46,209 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 03:06:46,209 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,210 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,211 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,211 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,212 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,214 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,215 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,215 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,216 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,217 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,217 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,218 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,219 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,219 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,220 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,221 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,221 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 03:06:46,222 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 03:06:46,223 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:46,223 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:46,224 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:46,225 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,225 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,226 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,226 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,227 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,228 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,228 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,229 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,230 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,230 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,231 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,232 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,232 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,233 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,234 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,234 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,235 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,236 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,236 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,237 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,237 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,238 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,239 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,239 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,240 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,241 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,241 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,242 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,243 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,243 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,244 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,245 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,245 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,246 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 03:06:46,248 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 03:06:46,249 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,249 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,250 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,251 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,251 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,252 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,253 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,253 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,254 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,255 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,255 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,256 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,257 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,257 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,258 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,259 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,259 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,260 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,261 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,261 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,262 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,263 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,263 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,264 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,264 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,265 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,266 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,266 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,267 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,268 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,268 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,269 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,270 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,270 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,271 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,272 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,272 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,273 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,274 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,274 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,275 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,276 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,277 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,277 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,278 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,278 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,279 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,280 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,280 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,281 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,282 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,282 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,283 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,284 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,284 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 03:06:46,285 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,286 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,286 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/label_count.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,287 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/flags.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,288 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,288 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,289 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/fncall.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,290 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/vararg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,290 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/basic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,291 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/custom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,292 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,292 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/propagate.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,293 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/write_callback.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 03:06:46,294 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 03:06:46,294 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 03:06:46,295 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins 2024-01-19 03:06:46,296 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,296 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,297 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,298 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,298 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,299 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,300 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,300 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,301 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,302 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,302 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,303 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,304 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,304 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,305 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,306 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,306 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,307 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,308 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,308 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,309 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,310 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,310 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,311 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,312 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,312 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,313 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,314 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,314 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,315 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,316 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,316 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,317 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,318 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,318 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,319 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,320 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,320 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,321 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,322 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,323 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,323 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,324 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,325 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,325 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,326 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,327 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,327 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,328 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,329 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,329 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,330 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,334 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,335 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,336 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,337 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,337 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,338 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,339 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,339 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,340 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,341 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,342 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,342 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,343 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,344 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,345 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,345 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,346 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,347 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,348 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,348 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,349 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,350 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,351 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,351 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,352 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,353 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,385 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,386 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,387 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,388 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,388 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,389 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,390 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,391 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,391 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,405 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,405 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,406 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,407 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,408 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,409 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,409 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,410 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,411 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,411 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,412 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,413 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,414 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,414 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 03:06:46,415 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,416 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,417 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,417 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,418 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,419 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,420 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,420 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,421 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,422 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,423 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,423 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,424 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,425 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,425 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,429 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,429 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,430 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,431 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,431 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,432 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,433 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,433 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,434 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,438 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,441 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 03:06:46,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,464 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,464 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,466 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,466 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,467 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,468 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,468 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,469 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,470 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,470 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,471 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,472 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,472 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,473 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,474 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,474 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,479 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 03:06:46,481 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/timing.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/time -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 03:06:46,493 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strxfrm.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tsearch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getline.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/c-strdup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/use-after-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,501 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 03:06:46,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 03:06:46,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tzset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dlerror.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/stack-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/death-callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mktime.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/fork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/rand_r.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/report-demangling.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-member.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,520 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/backtrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/keep-going.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/inline.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtls_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sigwait.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/times.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/readdir64.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ftime.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/setlocale.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/print_stats.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,542 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/vector_select.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ioctl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/heap-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,550 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mmap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/iconv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/textdomain.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,555 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:46,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:46,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:46,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 03:06:46,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/errno.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/initgroups.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,564 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,566 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 03:06:46,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 03:06:46,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,568 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:46,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:46,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:46,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 03:06:46,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,572 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 03:06:46,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dso-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 03:06:46,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 03:06:46,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:46,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-01-19 03:06:46,583 root INFO running install_egg_info 2024-01-19 03:06:46,600 root INFO Copying pythondata_software_compiler_rt.egg-info to build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt-0.0.post6189-py3.11.egg-info 2024-01-19 03:06:46,604 root INFO running install_scripts 2024-01-19 03:06:46,611 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt-0.0.post6189.dist-info/WHEEL 2024-01-19 03:06:46,614 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-7wu0iib7/pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:46,617 wheel INFO adding 'pythondata_software_compiler_rt/__init__.py' 2024-01-19 03:06:46,621 wheel INFO adding 'pythondata_software_compiler_rt/data/.arcconfig' 2024-01-19 03:06:46,622 wheel INFO adding 'pythondata_software_compiler_rt/data/.gitignore' 2024-01-19 03:06:46,623 wheel INFO adding 'pythondata_software_compiler_rt/data/CMakeLists.txt' 2024-01-19 03:06:46,624 wheel INFO adding 'pythondata_software_compiler_rt/data/CODE_OWNERS.TXT' 2024-01-19 03:06:46,625 wheel INFO adding 'pythondata_software_compiler_rt/data/CREDITS.TXT' 2024-01-19 03:06:46,626 wheel INFO adding 'pythondata_software_compiler_rt/data/LICENSE.TXT' 2024-01-19 03:06:46,627 wheel INFO adding 'pythondata_software_compiler_rt/data/Makefile' 2024-01-19 03:06:46,628 wheel INFO adding 'pythondata_software_compiler_rt/data/README.txt' 2024-01-19 03:06:46,629 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/config-ix.cmake' 2024-01-19 03:06:46,631 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake' 2024-01-19 03:06:46,632 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake' 2024-01-19 03:06:46,633 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake' 2024-01-19 03:06:46,634 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake' 2024-01-19 03:06:46,635 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake' 2024-01-19 03:06:46,636 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake' 2024-01-19 03:06:46,637 wheel INFO adding 'pythondata_software_compiler_rt/data/include/CMakeLists.txt' 2024-01-19 03:06:46,638 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h' 2024-01-19 03:06:46,639 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h' 2024-01-19 03:06:46,640 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h' 2024-01-19 03:06:46,641 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h' 2024-01-19 03:06:46,642 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h' 2024-01-19 03:06:46,643 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h' 2024-01-19 03:06:46,648 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h' 2024-01-19 03:06:46,649 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h' 2024-01-19 03:06:46,650 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h' 2024-01-19 03:06:46,651 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/CMakeLists.txt' 2024-01-19 03:06:46,652 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/Makefile.mk' 2024-01-19 03:06:46,653 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h' 2024-01-19 03:06:46,654 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h' 2024-01-19 03:06:46,655 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c' 2024-01-19 03:06:46,656 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c' 2024-01-19 03:06:46,657 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt' 2024-01-19 03:06:46,658 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/Makefile.mk' 2024-01-19 03:06:46,659 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/README.txt' 2024-01-19 03:06:46,660 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra' 2024-01-19 03:06:46,661 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc' 2024-01-19 03:06:46,662 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation.h' 2024-01-19 03:06:46,663 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc' 2024-01-19 03:06:46,664 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc' 2024-01-19 03:06:46,665 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h' 2024-01-19 03:06:46,666 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt' 2024-01-19 03:06:46,667 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc' 2024-01-19 03:06:46,668 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc' 2024-01-19 03:06:46,669 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h' 2024-01-19 03:06:46,670 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc' 2024-01-19 03:06:46,671 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.h' 2024-01-19 03:06:46,672 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc' 2024-01-19 03:06:46,673 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc' 2024-01-19 03:06:46,674 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h' 2024-01-19 03:06:46,675 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc' 2024-01-19 03:06:46,676 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h' 2024-01-19 03:06:46,677 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h' 2024-01-19 03:06:46,678 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_internal.h' 2024-01-19 03:06:46,679 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc' 2024-01-19 03:06:46,680 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_lock.h' 2024-01-19 03:06:46,681 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc' 2024-01-19 03:06:46,682 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc' 2024-01-19 03:06:46,683 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc' 2024-01-19 03:06:46,684 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc' 2024-01-19 03:06:46,685 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h' 2024-01-19 03:06:46,686 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc' 2024-01-19 03:06:46,687 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc' 2024-01-19 03:06:46,688 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h' 2024-01-19 03:06:46,689 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc' 2024-01-19 03:06:46,690 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc' 2024-01-19 03:06:46,691 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_report.cc' 2024-01-19 03:06:46,693 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_report.h' 2024-01-19 03:06:46,694 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc' 2024-01-19 03:06:46,695 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc' 2024-01-19 03:06:46,696 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stack.h' 2024-01-19 03:06:46,697 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc' 2024-01-19 03:06:46,698 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stats.h' 2024-01-19 03:06:46,699 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc' 2024-01-19 03:06:46,700 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h' 2024-01-19 03:06:46,701 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc' 2024-01-19 03:06:46,702 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_thread.h' 2024-01-19 03:06:46,703 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win.cc' 2024-01-19 03:06:46,704 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc' 2024-01-19 03:06:46,705 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc' 2024-01-19 03:06:46,706 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt' 2024-01-19 03:06:46,707 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup' 2024-01-19 03:06:46,708 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py' 2024-01-19 03:06:46,710 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt' 2024-01-19 03:06:46,711 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc' 2024-01-19 03:06:46,712 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc' 2024-01-19 03:06:46,713 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc' 2024-01-19 03:06:46,714 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc' 2024-01-19 03:06:46,715 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc' 2024-01-19 03:06:46,716 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc' 2024-01-19 03:06:46,717 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc' 2024-01-19 03:06:46,718 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h' 2024-01-19 03:06:46,719 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm' 2024-01-19 03:06:46,720 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc' 2024-01-19 03:06:46,721 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc' 2024-01-19 03:06:46,722 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc' 2024-01-19 03:06:46,723 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc' 2024-01-19 03:06:46,724 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc' 2024-01-19 03:06:46,725 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc' 2024-01-19 03:06:46,727 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore' 2024-01-19 03:06:46,727 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h' 2024-01-19 03:06:46,728 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc' 2024-01-19 03:06:46,729 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h' 2024-01-19 03:06:46,731 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt' 2024-01-19 03:06:46,732 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk' 2024-01-19 03:06:46,733 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/README.txt' 2024-01-19 03:06:46,734 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c' 2024-01-19 03:06:46,735 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c' 2024-01-19 03:06:46,736 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvti2.c' 2024-01-19 03:06:46,737 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/adddf3.c' 2024-01-19 03:06:46,738 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addsf3.c' 2024-01-19 03:06:46,738 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addtf3.c' 2024-01-19 03:06:46,739 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c' 2024-01-19 03:06:46,740 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c' 2024-01-19 03:06:46,741 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvti3.c' 2024-01-19 03:06:46,742 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c' 2024-01-19 03:06:46,743 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c' 2024-01-19 03:06:46,744 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c' 2024-01-19 03:06:46,745 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c' 2024-01-19 03:06:46,746 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c' 2024-01-19 03:06:46,747 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/assembly.h' 2024-01-19 03:06:46,748 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic.c' 2024-01-19 03:06:46,749 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c' 2024-01-19 03:06:46,749 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c' 2024-01-19 03:06:46,750 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c' 2024-01-19 03:06:46,751 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c' 2024-01-19 03:06:46,752 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c' 2024-01-19 03:06:46,753 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c' 2024-01-19 03:06:46,754 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c' 2024-01-19 03:06:46,755 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c' 2024-01-19 03:06:46,756 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c' 2024-01-19 03:06:46,756 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzti2.c' 2024-01-19 03:06:46,757 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c' 2024-01-19 03:06:46,758 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c' 2024-01-19 03:06:46,759 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c' 2024-01-19 03:06:46,760 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c' 2024-01-19 03:06:46,761 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c' 2024-01-19 03:06:46,762 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c' 2024-01-19 03:06:46,763 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c' 2024-01-19 03:06:46,764 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c' 2024-01-19 03:06:46,764 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdc3.c' 2024-01-19 03:06:46,765 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdf3.c' 2024-01-19 03:06:46,766 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdi3.c' 2024-01-19 03:06:46,767 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c' 2024-01-19 03:06:46,768 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c' 2024-01-19 03:06:46,769 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsc3.c' 2024-01-19 03:06:46,770 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsf3.c' 2024-01-19 03:06:46,771 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsi3.c' 2024-01-19 03:06:46,772 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divtf3.c' 2024-01-19 03:06:46,773 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divti3.c' 2024-01-19 03:06:46,774 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divxc3.c' 2024-01-19 03:06:46,775 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/emutls.c' 2024-01-19 03:06:46,776 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c' 2024-01-19 03:06:46,777 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/eprintf.c' 2024-01-19 03:06:46,777 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c' 2024-01-19 03:06:46,778 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c' 2024-01-19 03:06:46,779 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c' 2024-01-19 03:06:46,780 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c' 2024-01-19 03:06:46,781 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c' 2024-01-19 03:06:46,782 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c' 2024-01-19 03:06:46,783 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c' 2024-01-19 03:06:46,784 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c' 2024-01-19 03:06:46,785 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c' 2024-01-19 03:06:46,786 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c' 2024-01-19 03:06:46,787 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c' 2024-01-19 03:06:46,787 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c' 2024-01-19 03:06:46,788 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c' 2024-01-19 03:06:46,789 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c' 2024-01-19 03:06:46,790 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c' 2024-01-19 03:06:46,791 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c' 2024-01-19 03:06:46,792 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c' 2024-01-19 03:06:46,793 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c' 2024-01-19 03:06:46,793 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c' 2024-01-19 03:06:46,794 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c' 2024-01-19 03:06:46,795 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c' 2024-01-19 03:06:46,796 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c' 2024-01-19 03:06:46,797 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c' 2024-01-19 03:06:46,798 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c' 2024-01-19 03:06:46,799 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c' 2024-01-19 03:06:46,799 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c' 2024-01-19 03:06:46,800 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c' 2024-01-19 03:06:46,801 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c' 2024-01-19 03:06:46,802 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c' 2024-01-19 03:06:46,803 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c' 2024-01-19 03:06:46,804 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c' 2024-01-19 03:06:46,805 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatditf.c' 2024-01-19 03:06:46,806 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c' 2024-01-19 03:06:46,806 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c' 2024-01-19 03:06:46,807 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c' 2024-01-19 03:06:46,808 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c' 2024-01-19 03:06:46,809 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattidf.c' 2024-01-19 03:06:46,810 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattisf.c' 2024-01-19 03:06:46,811 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattixf.c' 2024-01-19 03:06:46,812 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c' 2024-01-19 03:06:46,813 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c' 2024-01-19 03:06:46,814 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c' 2024-01-19 03:06:46,815 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c' 2024-01-19 03:06:46,815 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c' 2024-01-19 03:06:46,816 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c' 2024-01-19 03:06:46,817 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c' 2024-01-19 03:06:46,818 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c' 2024-01-19 03:06:46,819 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c' 2024-01-19 03:06:46,820 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c' 2024-01-19 03:06:46,821 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc' 2024-01-19 03:06:46,822 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h' 2024-01-19 03:06:46,823 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc' 2024-01-19 03:06:46,824 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc' 2024-01-19 03:06:46,824 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc' 2024-01-19 03:06:46,825 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h' 2024-01-19 03:06:46,826 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc' 2024-01-19 03:06:46,827 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h' 2024-01-19 03:06:46,828 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc' 2024-01-19 03:06:46,829 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c' 2024-01-19 03:06:46,830 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h' 2024-01-19 03:06:46,831 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_lib.h' 2024-01-19 03:06:46,832 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_math.h' 2024-01-19 03:06:46,833 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_types.h' 2024-01-19 03:06:46,834 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_util.c' 2024-01-19 03:06:46,835 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_util.h' 2024-01-19 03:06:46,836 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c' 2024-01-19 03:06:46,837 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c' 2024-01-19 03:06:46,837 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/moddi3.c' 2024-01-19 03:06:46,838 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/modsi3.c' 2024-01-19 03:06:46,839 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/modti3.c' 2024-01-19 03:06:46,840 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldc3.c' 2024-01-19 03:06:46,841 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldf3.c' 2024-01-19 03:06:46,842 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldi3.c' 2024-01-19 03:06:46,843 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c' 2024-01-19 03:06:46,843 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c' 2024-01-19 03:06:46,844 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muloti4.c' 2024-01-19 03:06:46,845 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c' 2024-01-19 03:06:46,846 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c' 2024-01-19 03:06:46,847 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multc3.c' 2024-01-19 03:06:46,848 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multf3.c' 2024-01-19 03:06:46,849 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multi3.c' 2024-01-19 03:06:46,850 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c' 2024-01-19 03:06:46,850 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c' 2024-01-19 03:06:46,851 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c' 2024-01-19 03:06:46,852 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c' 2024-01-19 03:06:46,853 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negdf2.c' 2024-01-19 03:06:46,854 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negdi2.c' 2024-01-19 03:06:46,855 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negsf2.c' 2024-01-19 03:06:46,856 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negti2.c' 2024-01-19 03:06:46,856 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c' 2024-01-19 03:06:46,857 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c' 2024-01-19 03:06:46,858 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvti2.c' 2024-01-19 03:06:46,859 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c' 2024-01-19 03:06:46,860 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c' 2024-01-19 03:06:46,861 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/parityti2.c' 2024-01-19 03:06:46,862 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c' 2024-01-19 03:06:46,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c' 2024-01-19 03:06:46,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c' 2024-01-19 03:06:46,864 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powidf2.c' 2024-01-19 03:06:46,865 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powisf2.c' 2024-01-19 03:06:46,866 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powitf2.c' 2024-01-19 03:06:46,867 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powixf2.c' 2024-01-19 03:06:46,868 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subdf3.c' 2024-01-19 03:06:46,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subsf3.c' 2024-01-19 03:06:46,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subtf3.c' 2024-01-19 03:06:46,870 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c' 2024-01-19 03:06:46,871 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c' 2024-01-19 03:06:46,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvti3.c' 2024-01-19 03:06:46,873 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c' 2024-01-19 03:06:46,874 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c' 2024-01-19 03:06:46,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c' 2024-01-19 03:06:46,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c' 2024-01-19 03:06:46,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c' 2024-01-19 03:06:46,877 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c' 2024-01-19 03:06:46,878 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c' 2024-01-19 03:06:46,879 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c' 2024-01-19 03:06:46,880 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c' 2024-01-19 03:06:46,881 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c' 2024-01-19 03:06:46,882 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c' 2024-01-19 03:06:46,883 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c' 2024-01-19 03:06:46,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c' 2024-01-19 03:06:46,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivti3.c' 2024-01-19 03:06:46,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c' 2024-01-19 03:06:46,886 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c' 2024-01-19 03:06:46,887 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umodti3.c' 2024-01-19 03:06:46,888 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt' 2024-01-19 03:06:46,889 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt' 2024-01-19 03:06:46,890 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt' 2024-01-19 03:06:46,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT' 2024-01-19 03:06:46,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt' 2024-01-19 03:06:46,892 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt' 2024-01-19 03:06:46,893 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt' 2024-01-19 03:06:46,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt' 2024-01-19 03:06:46,895 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt' 2024-01-19 03:06:46,896 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt' 2024-01-19 03:06:46,897 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt' 2024-01-19 03:06:46,897 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt' 2024-01-19 03:06:46,898 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt' 2024-01-19 03:06:46,899 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt' 2024-01-19 03:06:46,900 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt' 2024-01-19 03:06:46,901 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt' 2024-01-19 03:06:46,902 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk' 2024-01-19 03:06:46,903 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S' 2024-01-19 03:06:46,904 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S' 2024-01-19 03:06:46,905 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S' 2024-01-19 03:06:46,906 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c' 2024-01-19 03:06:46,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S' 2024-01-19 03:06:46,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c' 2024-01-19 03:06:46,908 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S' 2024-01-19 03:06:46,909 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c' 2024-01-19 03:06:46,910 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c' 2024-01-19 03:06:46,911 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S' 2024-01-19 03:06:46,912 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c' 2024-01-19 03:06:46,913 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S' 2024-01-19 03:06:46,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S' 2024-01-19 03:06:46,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S' 2024-01-19 03:06:46,915 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S' 2024-01-19 03:06:46,916 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S' 2024-01-19 03:06:46,917 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S' 2024-01-19 03:06:46,918 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S' 2024-01-19 03:06:46,919 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S' 2024-01-19 03:06:46,920 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S' 2024-01-19 03:06:46,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S' 2024-01-19 03:06:46,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S' 2024-01-19 03:06:46,922 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S' 2024-01-19 03:06:46,923 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S' 2024-01-19 03:06:46,924 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S' 2024-01-19 03:06:46,925 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S' 2024-01-19 03:06:46,926 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S' 2024-01-19 03:06:46,927 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S' 2024-01-19 03:06:46,928 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S' 2024-01-19 03:06:46,928 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S' 2024-01-19 03:06:46,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S' 2024-01-19 03:06:46,930 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S' 2024-01-19 03:06:46,931 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S' 2024-01-19 03:06:46,932 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S' 2024-01-19 03:06:46,933 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S' 2024-01-19 03:06:46,934 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S' 2024-01-19 03:06:46,935 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S' 2024-01-19 03:06:46,935 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S' 2024-01-19 03:06:46,936 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S' 2024-01-19 03:06:46,937 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S' 2024-01-19 03:06:46,938 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S' 2024-01-19 03:06:46,939 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S' 2024-01-19 03:06:46,940 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S' 2024-01-19 03:06:46,941 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S' 2024-01-19 03:06:46,941 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S' 2024-01-19 03:06:46,942 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S' 2024-01-19 03:06:46,943 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S' 2024-01-19 03:06:46,944 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S' 2024-01-19 03:06:46,945 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S' 2024-01-19 03:06:46,946 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S' 2024-01-19 03:06:46,947 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S' 2024-01-19 03:06:46,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S' 2024-01-19 03:06:46,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S' 2024-01-19 03:06:46,949 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S' 2024-01-19 03:06:46,950 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S' 2024-01-19 03:06:46,951 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S' 2024-01-19 03:06:46,952 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list' 2024-01-19 03:06:46,953 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S' 2024-01-19 03:06:46,954 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S' 2024-01-19 03:06:46,954 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S' 2024-01-19 03:06:46,955 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S' 2024-01-19 03:06:46,956 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S' 2024-01-19 03:06:46,957 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S' 2024-01-19 03:06:46,958 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h' 2024-01-19 03:06:46,959 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S' 2024-01-19 03:06:46,960 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S' 2024-01-19 03:06:46,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S' 2024-01-19 03:06:46,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S' 2024-01-19 03:06:46,962 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S' 2024-01-19 03:06:46,963 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S' 2024-01-19 03:06:46,964 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S' 2024-01-19 03:06:46,965 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S' 2024-01-19 03:06:46,966 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S' 2024-01-19 03:06:46,967 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S' 2024-01-19 03:06:46,967 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S' 2024-01-19 03:06:46,968 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S' 2024-01-19 03:06:46,969 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S' 2024-01-19 03:06:46,970 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S' 2024-01-19 03:06:46,971 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S' 2024-01-19 03:06:46,972 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S' 2024-01-19 03:06:46,973 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S' 2024-01-19 03:06:46,973 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S' 2024-01-19 03:06:46,974 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S' 2024-01-19 03:06:46,975 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S' 2024-01-19 03:06:46,976 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S' 2024-01-19 03:06:46,977 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S' 2024-01-19 03:06:46,978 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S' 2024-01-19 03:06:46,979 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S' 2024-01-19 03:06:46,980 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S' 2024-01-19 03:06:46,980 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S' 2024-01-19 03:06:46,981 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S' 2024-01-19 03:06:46,982 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk' 2024-01-19 03:06:46,983 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk' 2024-01-19 03:06:46,984 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk' 2024-01-19 03:06:46,985 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S' 2024-01-19 03:06:46,986 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S' 2024-01-19 03:06:46,987 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S' 2024-01-19 03:06:46,988 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S' 2024-01-19 03:06:46,989 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S' 2024-01-19 03:06:46,990 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S' 2024-01-19 03:06:46,991 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S' 2024-01-19 03:06:46,992 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S' 2024-01-19 03:06:46,992 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S' 2024-01-19 03:06:46,993 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S' 2024-01-19 03:06:46,994 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S' 2024-01-19 03:06:46,995 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S' 2024-01-19 03:06:46,996 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S' 2024-01-19 03:06:46,997 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S' 2024-01-19 03:06:46,998 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S' 2024-01-19 03:06:46,999 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S' 2024-01-19 03:06:47,000 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt' 2024-01-19 03:06:47,001 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt' 2024-01-19 03:06:47,001 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt' 2024-01-19 03:06:47,002 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt' 2024-01-19 03:06:47,003 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt' 2024-01-19 03:06:47,004 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt' 2024-01-19 03:06:47,005 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h' 2024-01-19 03:06:47,006 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk' 2024-01-19 03:06:47,007 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c' 2024-01-19 03:06:47,008 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c' 2024-01-19 03:06:47,009 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c' 2024-01-19 03:06:47,009 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c' 2024-01-19 03:06:47,010 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c' 2024-01-19 03:06:47,011 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c' 2024-01-19 03:06:47,012 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c' 2024-01-19 03:06:47,013 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c' 2024-01-19 03:06:47,014 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c' 2024-01-19 03:06:47,015 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c' 2024-01-19 03:06:47,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S' 2024-01-19 03:06:47,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S' 2024-01-19 03:06:47,017 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk' 2024-01-19 03:06:47,018 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S' 2024-01-19 03:06:47,019 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S' 2024-01-19 03:06:47,020 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c' 2024-01-19 03:06:47,021 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c' 2024-01-19 03:06:47,022 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c' 2024-01-19 03:06:47,023 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S' 2024-01-19 03:06:47,024 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S' 2024-01-19 03:06:47,024 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S' 2024-01-19 03:06:47,025 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt' 2024-01-19 03:06:47,026 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt' 2024-01-19 03:06:47,027 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt' 2024-01-19 03:06:47,028 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc' 2024-01-19 03:06:47,029 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h' 2024-01-19 03:06:47,030 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra' 2024-01-19 03:06:47,031 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc' 2024-01-19 03:06:47,033 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc' 2024-01-19 03:06:47,034 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc' 2024-01-19 03:06:47,034 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt' 2024-01-19 03:06:47,036 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt' 2024-01-19 03:06:47,039 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py' 2024-01-19 03:06:47,040 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh' 2024-01-19 03:06:47,041 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt' 2024-01-19 03:06:47,041 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/Makefile.mk' 2024-01-19 03:06:47,042 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception.h' 2024-01-19 03:06:47,043 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc' 2024-01-19 03:06:47,044 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_linux.h' 2024-01-19 03:06:47,045 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc' 2024-01-19 03:06:47,046 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_mac.h' 2024-01-19 03:06:47,047 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc' 2024-01-19 03:06:47,048 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_win.cc' 2024-01-19 03:06:47,049 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_win.h' 2024-01-19 03:06:47,050 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt' 2024-01-19 03:06:47,051 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk' 2024-01-19 03:06:47,052 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan.cc' 2024-01-19 03:06:47,053 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan.h' 2024-01-19 03:06:47,053 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc' 2024-01-19 03:06:47,054 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h' 2024-01-19 03:06:47,055 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc' 2024-01-19 03:06:47,057 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h' 2024-01-19 03:06:47,058 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc' 2024-01-19 03:06:47,059 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc' 2024-01-19 03:06:47,060 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc' 2024-01-19 03:06:47,061 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc' 2024-01-19 03:06:47,062 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc' 2024-01-19 03:06:47,062 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h' 2024-01-19 03:06:47,064 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt' 2024-01-19 03:06:47,065 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.cc' 2024-01-19 03:06:47,066 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.h' 2024-01-19 03:06:47,067 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra' 2024-01-19 03:06:47,068 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc' 2024-01-19 03:06:47,069 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h' 2024-01-19 03:06:47,070 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt' 2024-01-19 03:06:47,071 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc' 2024-01-19 03:06:47,072 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h' 2024-01-19 03:06:47,072 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_flags.h' 2024-01-19 03:06:47,073 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc' 2024-01-19 03:06:47,074 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc' 2024-01-19 03:06:47,076 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h' 2024-01-19 03:06:47,077 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc' 2024-01-19 03:06:47,078 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc' 2024-01-19 03:06:47,079 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_origin.h' 2024-01-19 03:06:47,080 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc' 2024-01-19 03:06:47,081 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h' 2024-01-19 03:06:47,082 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_report.cc' 2024-01-19 03:06:47,083 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc' 2024-01-19 03:06:47,084 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_thread.h' 2024-01-19 03:06:47,085 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt' 2024-01-19 03:06:47,086 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc' 2024-01-19 03:06:47,087 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc' 2024-01-19 03:06:47,090 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h' 2024-01-19 03:06:47,090 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc' 2024-01-19 03:06:47,092 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt' 2024-01-19 03:06:47,092 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c' 2024-01-19 03:06:47,094 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c' 2024-01-19 03:06:47,094 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h' 2024-01-19 03:06:47,095 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c' 2024-01-19 03:06:47,096 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c' 2024-01-19 03:06:47,098 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h' 2024-01-19 03:06:47,099 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c' 2024-01-19 03:06:47,100 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c' 2024-01-19 03:06:47,101 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c' 2024-01-19 03:06:47,102 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc' 2024-01-19 03:06:47,102 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c' 2024-01-19 03:06:47,103 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h' 2024-01-19 03:06:47,104 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/Makefile.mk' 2024-01-19 03:06:47,105 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt' 2024-01-19 03:06:47,106 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/safestack/safestack.cc' 2024-01-19 03:06:47,108 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt' 2024-01-19 03:06:47,109 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk' 2024-01-19 03:06:47,110 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h' 2024-01-19 03:06:47,111 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc' 2024-01-19 03:06:47,112 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h' 2024-01-19 03:06:47,114 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h' 2024-01-19 03:06:47,114 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h' 2024-01-19 03:06:47,115 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h' 2024-01-19 03:06:47,116 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h' 2024-01-19 03:06:47,117 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h' 2024-01-19 03:06:47,118 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h' 2024-01-19 03:06:47,119 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h' 2024-01-19 03:06:47,120 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h' 2024-01-19 03:06:47,121 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h' 2024-01-19 03:06:47,122 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h' 2024-01-19 03:06:47,123 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc' 2024-01-19 03:06:47,124 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h' 2024-01-19 03:06:47,126 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc' 2024-01-19 03:06:47,131 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc' 2024-01-19 03:06:47,132 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc' 2024-01-19 03:06:47,133 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc' 2024-01-19 03:06:47,134 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc' 2024-01-19 03:06:47,135 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc' 2024-01-19 03:06:47,138 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc' 2024-01-19 03:06:47,139 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc' 2024-01-19 03:06:47,140 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h' 2024-01-19 03:06:47,141 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc' 2024-01-19 03:06:47,142 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc' 2024-01-19 03:06:47,143 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h' 2024-01-19 03:06:47,144 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc' 2024-01-19 03:06:47,145 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h' 2024-01-19 03:06:47,146 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc' 2024-01-19 03:06:47,147 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h' 2024-01-19 03:06:47,148 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc' 2024-01-19 03:06:47,149 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h' 2024-01-19 03:06:47,150 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h' 2024-01-19 03:06:47,151 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h' 2024-01-19 03:06:47,152 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h' 2024-01-19 03:06:47,153 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc' 2024-01-19 03:06:47,154 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h' 2024-01-19 03:06:47,155 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc' 2024-01-19 03:06:47,156 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h' 2024-01-19 03:06:47,157 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc' 2024-01-19 03:06:47,158 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h' 2024-01-19 03:06:47,159 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc' 2024-01-19 03:06:47,160 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h' 2024-01-19 03:06:47,161 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc' 2024-01-19 03:06:47,162 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h' 2024-01-19 03:06:47,163 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc' 2024-01-19 03:06:47,164 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h' 2024-01-19 03:06:47,165 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc' 2024-01-19 03:06:47,166 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h' 2024-01-19 03:06:47,167 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h' 2024-01-19 03:06:47,168 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h' 2024-01-19 03:06:47,169 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h' 2024-01-19 03:06:47,170 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc' 2024-01-19 03:06:47,171 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc' 2024-01-19 03:06:47,173 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h' 2024-01-19 03:06:47,175 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc' 2024-01-19 03:06:47,176 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h' 2024-01-19 03:06:47,177 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc' 2024-01-19 03:06:47,178 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc' 2024-01-19 03:06:47,179 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h' 2024-01-19 03:06:47,180 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc' 2024-01-19 03:06:47,181 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc' 2024-01-19 03:06:47,182 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc' 2024-01-19 03:06:47,183 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc' 2024-01-19 03:06:47,184 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h' 2024-01-19 03:06:47,185 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h' 2024-01-19 03:06:47,186 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc' 2024-01-19 03:06:47,187 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h' 2024-01-19 03:06:47,187 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h' 2024-01-19 03:06:47,188 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc' 2024-01-19 03:06:47,189 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h' 2024-01-19 03:06:47,190 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc' 2024-01-19 03:06:47,191 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc' 2024-01-19 03:06:47,192 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h' 2024-01-19 03:06:47,193 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h' 2024-01-19 03:06:47,194 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc' 2024-01-19 03:06:47,195 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc' 2024-01-19 03:06:47,196 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h' 2024-01-19 03:06:47,197 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc' 2024-01-19 03:06:47,198 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h' 2024-01-19 03:06:47,199 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h' 2024-01-19 03:06:47,200 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc' 2024-01-19 03:06:47,201 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h' 2024-01-19 03:06:47,202 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc' 2024-01-19 03:06:47,203 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc' 2024-01-19 03:06:47,204 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h' 2024-01-19 03:06:47,205 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc' 2024-01-19 03:06:47,206 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc' 2024-01-19 03:06:47,207 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc' 2024-01-19 03:06:47,208 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc' 2024-01-19 03:06:47,209 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc' 2024-01-19 03:06:47,210 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc' 2024-01-19 03:06:47,211 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h' 2024-01-19 03:06:47,212 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc' 2024-01-19 03:06:47,213 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h' 2024-01-19 03:06:47,214 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc' 2024-01-19 03:06:47,215 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc' 2024-01-19 03:06:47,217 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh' 2024-01-19 03:06:47,218 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py' 2024-01-19 03:06:47,221 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py' 2024-01-19 03:06:47,222 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py' 2024-01-19 03:06:47,223 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py' 2024-01-19 03:06:47,224 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py' 2024-01-19 03:06:47,226 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt' 2024-01-19 03:06:47,227 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc' 2024-01-19 03:06:47,228 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc' 2024-01-19 03:06:47,229 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc' 2024-01-19 03:06:47,230 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc' 2024-01-19 03:06:47,231 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc' 2024-01-19 03:06:47,232 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc' 2024-01-19 03:06:47,233 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc' 2024-01-19 03:06:47,234 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc' 2024-01-19 03:06:47,235 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc' 2024-01-19 03:06:47,236 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc' 2024-01-19 03:06:47,237 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc' 2024-01-19 03:06:47,238 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc' 2024-01-19 03:06:47,239 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc' 2024-01-19 03:06:47,240 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc' 2024-01-19 03:06:47,241 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc' 2024-01-19 03:06:47,242 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc' 2024-01-19 03:06:47,243 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc' 2024-01-19 03:06:47,244 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc' 2024-01-19 03:06:47,245 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc' 2024-01-19 03:06:47,246 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h' 2024-01-19 03:06:47,247 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc' 2024-01-19 03:06:47,247 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc' 2024-01-19 03:06:47,248 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc' 2024-01-19 03:06:47,249 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc' 2024-01-19 03:06:47,250 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc' 2024-01-19 03:06:47,251 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc' 2024-01-19 03:06:47,252 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc' 2024-01-19 03:06:47,253 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h' 2024-01-19 03:06:47,254 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc' 2024-01-19 03:06:47,255 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h' 2024-01-19 03:06:47,256 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc' 2024-01-19 03:06:47,257 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc' 2024-01-19 03:06:47,258 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt' 2024-01-19 03:06:47,259 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/Makefile.old' 2024-01-19 03:06:47,260 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh' 2024-01-19 03:06:47,261 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh' 2024-01-19 03:06:47,261 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh' 2024-01-19 03:06:47,262 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh' 2024-01-19 03:06:47,263 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc' 2024-01-19 03:06:47,264 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc' 2024-01-19 03:06:47,265 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc' 2024-01-19 03:06:47,266 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc' 2024-01-19 03:06:47,267 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt' 2024-01-19 03:06:47,268 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc' 2024-01-19 03:06:47,269 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc' 2024-01-19 03:06:47,270 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h' 2024-01-19 03:06:47,271 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/build.bat' 2024-01-19 03:06:47,272 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh' 2024-01-19 03:06:47,273 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/test.c' 2024-01-19 03:06:47,274 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc' 2024-01-19 03:06:47,275 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old' 2024-01-19 03:06:47,276 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra' 2024-01-19 03:06:47,277 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc' 2024-01-19 03:06:47,278 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h' 2024-01-19 03:06:47,279 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h' 2024-01-19 03:06:47,280 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h' 2024-01-19 03:06:47,281 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc' 2024-01-19 03:06:47,282 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h' 2024-01-19 03:06:47,283 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc' 2024-01-19 03:06:47,284 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h' 2024-01-19 03:06:47,285 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc' 2024-01-19 03:06:47,285 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc' 2024-01-19 03:06:47,286 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h' 2024-01-19 03:06:47,288 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc' 2024-01-19 03:06:47,290 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h' 2024-01-19 03:06:47,291 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc' 2024-01-19 03:06:47,292 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h' 2024-01-19 03:06:47,293 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc' 2024-01-19 03:06:47,294 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h' 2024-01-19 03:06:47,295 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc' 2024-01-19 03:06:47,296 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h' 2024-01-19 03:06:47,297 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc' 2024-01-19 03:06:47,298 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h' 2024-01-19 03:06:47,299 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc' 2024-01-19 03:06:47,300 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc' 2024-01-19 03:06:47,301 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc' 2024-01-19 03:06:47,302 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h' 2024-01-19 03:06:47,303 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc' 2024-01-19 03:06:47,304 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h' 2024-01-19 03:06:47,305 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc' 2024-01-19 03:06:47,306 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h' 2024-01-19 03:06:47,306 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc' 2024-01-19 03:06:47,307 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h' 2024-01-19 03:06:47,309 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc' 2024-01-19 03:06:47,310 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc' 2024-01-19 03:06:47,311 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc' 2024-01-19 03:06:47,312 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc' 2024-01-19 03:06:47,313 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc' 2024-01-19 03:06:47,314 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h' 2024-01-19 03:06:47,315 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc' 2024-01-19 03:06:47,316 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h' 2024-01-19 03:06:47,317 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S' 2024-01-19 03:06:47,319 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc' 2024-01-19 03:06:47,320 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc' 2024-01-19 03:06:47,321 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc' 2024-01-19 03:06:47,322 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc' 2024-01-19 03:06:47,323 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h' 2024-01-19 03:06:47,324 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc' 2024-01-19 03:06:47,325 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h' 2024-01-19 03:06:47,326 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc' 2024-01-19 03:06:47,327 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h' 2024-01-19 03:06:47,328 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc' 2024-01-19 03:06:47,329 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h' 2024-01-19 03:06:47,330 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc' 2024-01-19 03:06:47,331 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h' 2024-01-19 03:06:47,332 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h' 2024-01-19 03:06:47,332 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h' 2024-01-19 03:06:47,333 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h' 2024-01-19 03:06:47,334 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt' 2024-01-19 03:06:47,335 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt' 2024-01-19 03:06:47,336 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc' 2024-01-19 03:06:47,337 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc' 2024-01-19 03:06:47,338 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc' 2024-01-19 03:06:47,339 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc' 2024-01-19 03:06:47,340 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc' 2024-01-19 03:06:47,341 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc' 2024-01-19 03:06:47,342 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h' 2024-01-19 03:06:47,343 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc' 2024-01-19 03:06:47,344 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc' 2024-01-19 03:06:47,345 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt' 2024-01-19 03:06:47,346 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc' 2024-01-19 03:06:47,347 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc' 2024-01-19 03:06:47,348 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc' 2024-01-19 03:06:47,349 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc' 2024-01-19 03:06:47,350 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc' 2024-01-19 03:06:47,351 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc' 2024-01-19 03:06:47,352 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc' 2024-01-19 03:06:47,353 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc' 2024-01-19 03:06:47,353 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc' 2024-01-19 03:06:47,354 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc' 2024-01-19 03:06:47,355 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc' 2024-01-19 03:06:47,356 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt' 2024-01-19 03:06:47,357 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk' 2024-01-19 03:06:47,358 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra' 2024-01-19 03:06:47,359 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc' 2024-01-19 03:06:47,360 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc' 2024-01-19 03:06:47,361 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h' 2024-01-19 03:06:47,362 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc' 2024-01-19 03:06:47,363 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h' 2024-01-19 03:06:47,364 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc' 2024-01-19 03:06:47,365 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc' 2024-01-19 03:06:47,366 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h' 2024-01-19 03:06:47,367 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc' 2024-01-19 03:06:47,368 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h' 2024-01-19 03:06:47,369 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc' 2024-01-19 03:06:47,370 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h' 2024-01-19 03:06:47,370 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc' 2024-01-19 03:06:47,371 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h' 2024-01-19 03:06:47,372 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc' 2024-01-19 03:06:47,373 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h' 2024-01-19 03:06:47,374 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc' 2024-01-19 03:06:47,375 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc' 2024-01-19 03:06:47,376 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc' 2024-01-19 03:06:47,377 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h' 2024-01-19 03:06:47,378 wheel INFO adding 'pythondata_software_compiler_rt/data/make/AppleBI.mk' 2024-01-19 03:06:47,379 wheel INFO adding 'pythondata_software_compiler_rt/data/make/config.mk' 2024-01-19 03:06:47,380 wheel INFO adding 'pythondata_software_compiler_rt/data/make/filter-inputs' 2024-01-19 03:06:47,381 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_info.mk' 2024-01-19 03:06:47,381 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_platforms.mk' 2024-01-19 03:06:47,382 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_util.mk' 2024-01-19 03:06:47,383 wheel INFO adding 'pythondata_software_compiler_rt/data/make/options.mk' 2024-01-19 03:06:47,384 wheel INFO adding 'pythondata_software_compiler_rt/data/make/subdir.mk' 2024-01-19 03:06:47,385 wheel INFO adding 'pythondata_software_compiler_rt/data/make/util.mk' 2024-01-19 03:06:47,386 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk' 2024-01-19 03:06:47,387 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c' 2024-01-19 03:06:47,388 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_linux.mk' 2024-01-19 03:06:47,389 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c' 2024-01-19 03:06:47,390 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk' 2024-01-19 03:06:47,391 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c' 2024-01-19 03:06:47,392 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk' 2024-01-19 03:06:47,393 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/multi_arch.mk' 2024-01-19 03:06:47,394 wheel INFO adding 'pythondata_software_compiler_rt/data/make/test/test-util.mk' 2024-01-19 03:06:47,395 wheel INFO adding 'pythondata_software_compiler_rt/data/test/CMakeLists.txt' 2024-01-19 03:06:47,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lit.common.cfg' 2024-01-19 03:06:47,397 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lit.common.configured.in' 2024-01-19 03:06:47,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c' 2024-01-19 03:06:47,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c' 2024-01-19 03:06:47,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c' 2024-01-19 03:06:47,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c' 2024-01-19 03:06:47,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c' 2024-01-19 03:06:47,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c' 2024-01-19 03:06:47,403 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c' 2024-01-19 03:06:47,404 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c' 2024-01-19 03:06:47,405 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c' 2024-01-19 03:06:47,406 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c' 2024-01-19 03:06:47,407 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c' 2024-01-19 03:06:47,407 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c' 2024-01-19 03:06:47,408 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c' 2024-01-19 03:06:47,409 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c' 2024-01-19 03:06:47,410 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C' 2024-01-19 03:06:47,411 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c' 2024-01-19 03:06:47,412 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c' 2024-01-19 03:06:47,413 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c' 2024-01-19 03:06:47,413 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c' 2024-01-19 03:06:47,414 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c' 2024-01-19 03:06:47,415 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c' 2024-01-19 03:06:47,416 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c' 2024-01-19 03:06:47,417 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c' 2024-01-19 03:06:47,418 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C' 2024-01-19 03:06:47,419 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c' 2024-01-19 03:06:47,420 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c' 2024-01-19 03:06:47,420 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c' 2024-01-19 03:06:47,421 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c' 2024-01-19 03:06:47,422 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile' 2024-01-19 03:06:47,423 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c' 2024-01-19 03:06:47,424 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c' 2024-01-19 03:06:47,425 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c' 2024-01-19 03:06:47,426 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c' 2024-01-19 03:06:47,427 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c' 2024-01-19 03:06:47,427 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c' 2024-01-19 03:06:47,428 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c' 2024-01-19 03:06:47,429 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c' 2024-01-19 03:06:47,430 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c' 2024-01-19 03:06:47,431 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c' 2024-01-19 03:06:47,432 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c' 2024-01-19 03:06:47,433 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c' 2024-01-19 03:06:47,433 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C' 2024-01-19 03:06:47,434 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c' 2024-01-19 03:06:47,435 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c' 2024-01-19 03:06:47,436 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c' 2024-01-19 03:06:47,437 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c' 2024-01-19 03:06:47,438 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c' 2024-01-19 03:06:47,439 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c' 2024-01-19 03:06:47,439 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h' 2024-01-19 03:06:47,440 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m' 2024-01-19 03:06:47,442 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c' 2024-01-19 03:06:47,443 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c' 2024-01-19 03:06:47,443 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c' 2024-01-19 03:06:47,448 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c' 2024-01-19 03:06:47,449 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt' 2024-01-19 03:06:47,450 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/lit.cfg' 2024-01-19 03:06:47,451 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in' 2024-01-19 03:06:47,453 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc' 2024-01-19 03:06:47,453 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc' 2024-01-19 03:06:47,454 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc' 2024-01-19 03:06:47,455 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc' 2024-01-19 03:06:47,456 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc' 2024-01-19 03:06:47,457 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc' 2024-01-19 03:06:47,458 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc' 2024-01-19 03:06:47,459 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc' 2024-01-19 03:06:47,459 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc' 2024-01-19 03:06:47,460 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc' 2024-01-19 03:06:47,461 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc' 2024-01-19 03:06:47,462 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc' 2024-01-19 03:06:47,463 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc' 2024-01-19 03:06:47,464 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c' 2024-01-19 03:06:47,465 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c' 2024-01-19 03:06:47,466 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c' 2024-01-19 03:06:47,466 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc' 2024-01-19 03:06:47,467 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc' 2024-01-19 03:06:47,468 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc' 2024-01-19 03:06:47,469 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc' 2024-01-19 03:06:47,470 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc' 2024-01-19 03:06:47,471 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc' 2024-01-19 03:06:47,472 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc' 2024-01-19 03:06:47,473 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc' 2024-01-19 03:06:47,474 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc' 2024-01-19 03:06:47,475 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc' 2024-01-19 03:06:47,475 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc' 2024-01-19 03:06:47,476 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc' 2024-01-19 03:06:47,477 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc' 2024-01-19 03:06:47,478 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc' 2024-01-19 03:06:47,479 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc' 2024-01-19 03:06:47,480 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc' 2024-01-19 03:06:47,481 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc' 2024-01-19 03:06:47,482 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc' 2024-01-19 03:06:47,482 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc' 2024-01-19 03:06:47,483 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc' 2024-01-19 03:06:47,484 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc' 2024-01-19 03:06:47,485 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc' 2024-01-19 03:06:47,486 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc' 2024-01-19 03:06:47,487 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc' 2024-01-19 03:06:47,488 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc' 2024-01-19 03:06:47,488 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc' 2024-01-19 03:06:47,489 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc' 2024-01-19 03:06:47,490 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc' 2024-01-19 03:06:47,491 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc' 2024-01-19 03:06:47,492 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc' 2024-01-19 03:06:47,493 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc' 2024-01-19 03:06:47,494 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc' 2024-01-19 03:06:47,494 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc' 2024-01-19 03:06:47,495 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc' 2024-01-19 03:06:47,496 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc' 2024-01-19 03:06:47,497 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc' 2024-01-19 03:06:47,498 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc' 2024-01-19 03:06:47,499 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc' 2024-01-19 03:06:47,500 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc' 2024-01-19 03:06:47,501 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc' 2024-01-19 03:06:47,501 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc' 2024-01-19 03:06:47,502 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc' 2024-01-19 03:06:47,503 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc' 2024-01-19 03:06:47,504 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc' 2024-01-19 03:06:47,505 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc' 2024-01-19 03:06:47,506 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc' 2024-01-19 03:06:47,507 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc' 2024-01-19 03:06:47,508 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc' 2024-01-19 03:06:47,509 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc' 2024-01-19 03:06:47,509 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc' 2024-01-19 03:06:47,510 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc' 2024-01-19 03:06:47,511 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc' 2024-01-19 03:06:47,512 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c' 2024-01-19 03:06:47,513 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc' 2024-01-19 03:06:47,514 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc' 2024-01-19 03:06:47,515 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc' 2024-01-19 03:06:47,515 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc' 2024-01-19 03:06:47,516 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc' 2024-01-19 03:06:47,517 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c' 2024-01-19 03:06:47,518 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c' 2024-01-19 03:06:47,519 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c' 2024-01-19 03:06:47,520 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c' 2024-01-19 03:06:47,521 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c' 2024-01-19 03:06:47,522 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c' 2024-01-19 03:06:47,522 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c' 2024-01-19 03:06:47,523 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc' 2024-01-19 03:06:47,524 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc' 2024-01-19 03:06:47,525 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc' 2024-01-19 03:06:47,526 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc' 2024-01-19 03:06:47,527 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc' 2024-01-19 03:06:47,528 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c' 2024-01-19 03:06:47,528 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c' 2024-01-19 03:06:47,529 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c' 2024-01-19 03:06:47,530 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c' 2024-01-19 03:06:47,531 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c' 2024-01-19 03:06:47,532 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c' 2024-01-19 03:06:47,533 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c' 2024-01-19 03:06:47,534 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c' 2024-01-19 03:06:47,534 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c' 2024-01-19 03:06:47,535 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc' 2024-01-19 03:06:47,536 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c' 2024-01-19 03:06:47,537 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c' 2024-01-19 03:06:47,538 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc' 2024-01-19 03:06:47,539 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c' 2024-01-19 03:06:47,540 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c' 2024-01-19 03:06:47,540 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c' 2024-01-19 03:06:47,541 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c' 2024-01-19 03:06:47,542 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c' 2024-01-19 03:06:47,543 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c' 2024-01-19 03:06:47,544 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c' 2024-01-19 03:06:47,545 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c' 2024-01-19 03:06:47,546 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c' 2024-01-19 03:06:47,546 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c' 2024-01-19 03:06:47,547 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c' 2024-01-19 03:06:47,548 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc' 2024-01-19 03:06:47,549 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc' 2024-01-19 03:06:47,550 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc' 2024-01-19 03:06:47,551 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc' 2024-01-19 03:06:47,552 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc' 2024-01-19 03:06:47,553 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc' 2024-01-19 03:06:47,554 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc' 2024-01-19 03:06:47,554 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc' 2024-01-19 03:06:47,555 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc' 2024-01-19 03:06:47,556 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc' 2024-01-19 03:06:47,557 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc' 2024-01-19 03:06:47,558 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc' 2024-01-19 03:06:47,559 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc' 2024-01-19 03:06:47,560 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc' 2024-01-19 03:06:47,561 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc' 2024-01-19 03:06:47,561 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc' 2024-01-19 03:06:47,562 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc' 2024-01-19 03:06:47,563 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc' 2024-01-19 03:06:47,564 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc' 2024-01-19 03:06:47,565 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc' 2024-01-19 03:06:47,566 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc' 2024-01-19 03:06:47,567 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc' 2024-01-19 03:06:47,567 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc' 2024-01-19 03:06:47,568 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc' 2024-01-19 03:06:47,569 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc' 2024-01-19 03:06:47,570 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg' 2024-01-19 03:06:47,571 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc' 2024-01-19 03:06:47,572 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm' 2024-01-19 03:06:47,573 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc' 2024-01-19 03:06:47,574 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc' 2024-01-19 03:06:47,575 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc' 2024-01-19 03:06:47,576 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c' 2024-01-19 03:06:47,577 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm' 2024-01-19 03:06:47,577 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc' 2024-01-19 03:06:47,578 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc' 2024-01-19 03:06:47,579 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc' 2024-01-19 03:06:47,580 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc' 2024-01-19 03:06:47,581 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c' 2024-01-19 03:06:47,582 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc' 2024-01-19 03:06:47,583 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg' 2024-01-19 03:06:47,584 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc' 2024-01-19 03:06:47,584 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc' 2024-01-19 03:06:47,585 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc' 2024-01-19 03:06:47,586 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm' 2024-01-19 03:06:47,587 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc' 2024-01-19 03:06:47,588 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc' 2024-01-19 03:06:47,589 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc' 2024-01-19 03:06:47,590 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc' 2024-01-19 03:06:47,591 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc' 2024-01-19 03:06:47,592 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc' 2024-01-19 03:06:47,592 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc' 2024-01-19 03:06:47,593 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc' 2024-01-19 03:06:47,594 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc' 2024-01-19 03:06:47,595 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc' 2024-01-19 03:06:47,596 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt' 2024-01-19 03:06:47,597 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc' 2024-01-19 03:06:47,598 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc' 2024-01-19 03:06:47,598 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc' 2024-01-19 03:06:47,599 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc' 2024-01-19 03:06:47,600 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg' 2024-01-19 03:06:47,601 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc' 2024-01-19 03:06:47,602 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc' 2024-01-19 03:06:47,603 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc' 2024-01-19 03:06:47,604 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc' 2024-01-19 03:06:47,605 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc' 2024-01-19 03:06:47,606 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc' 2024-01-19 03:06:47,607 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc' 2024-01-19 03:06:47,608 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc' 2024-01-19 03:06:47,608 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc' 2024-01-19 03:06:47,609 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc' 2024-01-19 03:06:47,610 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc' 2024-01-19 03:06:47,611 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc' 2024-01-19 03:06:47,612 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc' 2024-01-19 03:06:47,613 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc' 2024-01-19 03:06:47,614 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc' 2024-01-19 03:06:47,614 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc' 2024-01-19 03:06:47,615 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc' 2024-01-19 03:06:47,616 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc' 2024-01-19 03:06:47,617 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc' 2024-01-19 03:06:47,618 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc' 2024-01-19 03:06:47,619 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc' 2024-01-19 03:06:47,620 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c' 2024-01-19 03:06:47,621 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc' 2024-01-19 03:06:47,622 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc' 2024-01-19 03:06:47,622 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc' 2024-01-19 03:06:47,623 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg' 2024-01-19 03:06:47,624 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc' 2024-01-19 03:06:47,625 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc' 2024-01-19 03:06:47,626 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc' 2024-01-19 03:06:47,627 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc' 2024-01-19 03:06:47,628 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc' 2024-01-19 03:06:47,629 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc' 2024-01-19 03:06:47,630 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc' 2024-01-19 03:06:47,630 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc' 2024-01-19 03:06:47,631 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc' 2024-01-19 03:06:47,632 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c' 2024-01-19 03:06:47,633 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc' 2024-01-19 03:06:47,634 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc' 2024-01-19 03:06:47,635 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc' 2024-01-19 03:06:47,636 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc' 2024-01-19 03:06:47,637 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc' 2024-01-19 03:06:47,638 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc' 2024-01-19 03:06:47,638 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc' 2024-01-19 03:06:47,639 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c' 2024-01-19 03:06:47,640 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc' 2024-01-19 03:06:47,641 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc' 2024-01-19 03:06:47,642 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc' 2024-01-19 03:06:47,643 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc' 2024-01-19 03:06:47,644 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc' 2024-01-19 03:06:47,645 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc' 2024-01-19 03:06:47,646 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc' 2024-01-19 03:06:47,647 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc' 2024-01-19 03:06:47,648 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc' 2024-01-19 03:06:47,648 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc' 2024-01-19 03:06:47,649 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc' 2024-01-19 03:06:47,650 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc' 2024-01-19 03:06:47,651 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc' 2024-01-19 03:06:47,652 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc' 2024-01-19 03:06:47,653 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc' 2024-01-19 03:06:47,654 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc' 2024-01-19 03:06:47,655 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc' 2024-01-19 03:06:47,656 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc' 2024-01-19 03:06:47,656 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc' 2024-01-19 03:06:47,657 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc' 2024-01-19 03:06:47,658 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc' 2024-01-19 03:06:47,659 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc' 2024-01-19 03:06:47,660 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc' 2024-01-19 03:06:47,661 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc' 2024-01-19 03:06:47,662 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc' 2024-01-19 03:06:47,663 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc' 2024-01-19 03:06:47,664 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc' 2024-01-19 03:06:47,664 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc' 2024-01-19 03:06:47,665 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc' 2024-01-19 03:06:47,666 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc' 2024-01-19 03:06:47,667 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc' 2024-01-19 03:06:47,668 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc' 2024-01-19 03:06:47,669 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg' 2024-01-19 03:06:47,670 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled' 2024-01-19 03:06:47,670 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc' 2024-01-19 03:06:47,671 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc' 2024-01-19 03:06:47,672 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc' 2024-01-19 03:06:47,673 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc' 2024-01-19 03:06:47,674 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc' 2024-01-19 03:06:47,675 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc' 2024-01-19 03:06:47,676 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc' 2024-01-19 03:06:47,677 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc' 2024-01-19 03:06:47,678 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc' 2024-01-19 03:06:47,678 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc' 2024-01-19 03:06:47,679 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc' 2024-01-19 03:06:47,680 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc' 2024-01-19 03:06:47,681 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc' 2024-01-19 03:06:47,682 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc' 2024-01-19 03:06:47,683 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa' 2024-01-19 03:06:47,684 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab' 2024-01-19 03:06:47,685 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba' 2024-01-19 03:06:47,686 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc' 2024-01-19 03:06:47,687 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc' 2024-01-19 03:06:47,688 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc' 2024-01-19 03:06:47,689 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc' 2024-01-19 03:06:47,690 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc' 2024-01-19 03:06:47,691 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc' 2024-01-19 03:06:47,691 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc' 2024-01-19 03:06:47,692 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc' 2024-01-19 03:06:47,693 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc' 2024-01-19 03:06:47,694 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc' 2024-01-19 03:06:47,695 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc' 2024-01-19 03:06:47,696 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc' 2024-01-19 03:06:47,697 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc' 2024-01-19 03:06:47,698 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc' 2024-01-19 03:06:47,698 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc' 2024-01-19 03:06:47,699 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc' 2024-01-19 03:06:47,700 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc' 2024-01-19 03:06:47,701 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc' 2024-01-19 03:06:47,702 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc' 2024-01-19 03:06:47,703 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc' 2024-01-19 03:06:47,704 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc' 2024-01-19 03:06:47,705 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc' 2024-01-19 03:06:47,706 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc' 2024-01-19 03:06:47,706 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc' 2024-01-19 03:06:47,707 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc' 2024-01-19 03:06:47,708 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc' 2024-01-19 03:06:47,709 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc' 2024-01-19 03:06:47,710 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc' 2024-01-19 03:06:47,711 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc' 2024-01-19 03:06:47,712 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc' 2024-01-19 03:06:47,713 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc' 2024-01-19 03:06:47,713 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc' 2024-01-19 03:06:47,714 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc' 2024-01-19 03:06:47,715 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc' 2024-01-19 03:06:47,716 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc' 2024-01-19 03:06:47,717 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc' 2024-01-19 03:06:47,718 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc' 2024-01-19 03:06:47,719 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc' 2024-01-19 03:06:47,720 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc' 2024-01-19 03:06:47,720 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc' 2024-01-19 03:06:47,721 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc' 2024-01-19 03:06:47,722 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc' 2024-01-19 03:06:47,723 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc' 2024-01-19 03:06:47,724 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc' 2024-01-19 03:06:47,725 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc' 2024-01-19 03:06:47,726 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc' 2024-01-19 03:06:47,727 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc' 2024-01-19 03:06:47,727 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg' 2024-01-19 03:06:47,728 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc' 2024-01-19 03:06:47,729 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc' 2024-01-19 03:06:47,730 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc' 2024-01-19 03:06:47,731 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc' 2024-01-19 03:06:47,732 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc' 2024-01-19 03:06:47,733 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc' 2024-01-19 03:06:47,733 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc' 2024-01-19 03:06:47,734 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc' 2024-01-19 03:06:47,735 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc' 2024-01-19 03:06:47,736 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc' 2024-01-19 03:06:47,737 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc' 2024-01-19 03:06:47,738 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc' 2024-01-19 03:06:47,739 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc' 2024-01-19 03:06:47,739 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc' 2024-01-19 03:06:47,740 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc' 2024-01-19 03:06:47,741 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc' 2024-01-19 03:06:47,742 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc' 2024-01-19 03:06:47,743 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc' 2024-01-19 03:06:47,744 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc' 2024-01-19 03:06:47,745 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc' 2024-01-19 03:06:47,746 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc' 2024-01-19 03:06:47,746 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc' 2024-01-19 03:06:47,747 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc' 2024-01-19 03:06:47,749 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc' 2024-01-19 03:06:47,750 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc' 2024-01-19 03:06:47,751 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc' 2024-01-19 03:06:47,752 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc' 2024-01-19 03:06:47,752 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc' 2024-01-19 03:06:47,753 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc' 2024-01-19 03:06:47,754 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc' 2024-01-19 03:06:47,755 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc' 2024-01-19 03:06:47,756 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc' 2024-01-19 03:06:47,757 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc' 2024-01-19 03:06:47,758 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc' 2024-01-19 03:06:47,758 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc' 2024-01-19 03:06:47,759 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc' 2024-01-19 03:06:47,760 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc' 2024-01-19 03:06:47,761 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc' 2024-01-19 03:06:47,762 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc' 2024-01-19 03:06:47,763 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc' 2024-01-19 03:06:47,764 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc' 2024-01-19 03:06:47,765 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc' 2024-01-19 03:06:47,765 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc' 2024-01-19 03:06:47,766 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc' 2024-01-19 03:06:47,767 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in' 2024-01-19 03:06:47,768 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py' 2024-01-19 03:06:47,769 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py' 2024-01-19 03:06:47,770 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py' 2024-01-19 03:06:47,772 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c' 2024-01-19 03:06:47,773 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c' 2024-01-19 03:06:47,774 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c' 2024-01-19 03:06:47,775 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c' 2024-01-19 03:06:47,776 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c' 2024-01-19 03:06:47,776 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c' 2024-01-19 03:06:47,777 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c' 2024-01-19 03:06:47,778 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c' 2024-01-19 03:06:47,779 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c' 2024-01-19 03:06:47,780 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c' 2024-01-19 03:06:47,781 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c' 2024-01-19 03:06:47,782 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c' 2024-01-19 03:06:47,783 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c' 2024-01-19 03:06:47,784 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c' 2024-01-19 03:06:47,785 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c' 2024-01-19 03:06:47,786 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c' 2024-01-19 03:06:47,787 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c' 2024-01-19 03:06:47,788 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c' 2024-01-19 03:06:47,789 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c' 2024-01-19 03:06:47,790 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c' 2024-01-19 03:06:47,790 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c' 2024-01-19 03:06:47,791 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c' 2024-01-19 03:06:47,793 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c' 2024-01-19 03:06:47,957 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c' 2024-01-19 03:06:47,958 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c' 2024-01-19 03:06:47,959 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c' 2024-01-19 03:06:47,960 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c' 2024-01-19 03:06:47,961 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c' 2024-01-19 03:06:47,962 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c' 2024-01-19 03:06:47,963 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c' 2024-01-19 03:06:47,964 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c' 2024-01-19 03:06:47,965 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c' 2024-01-19 03:06:47,965 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c' 2024-01-19 03:06:47,966 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c' 2024-01-19 03:06:47,967 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c' 2024-01-19 03:06:47,968 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c' 2024-01-19 03:06:47,969 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c' 2024-01-19 03:06:47,970 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c' 2024-01-19 03:06:47,971 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h' 2024-01-19 03:06:47,972 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c' 2024-01-19 03:06:47,973 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c' 2024-01-19 03:06:47,974 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c' 2024-01-19 03:06:47,975 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c' 2024-01-19 03:06:47,976 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c' 2024-01-19 03:06:47,976 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c' 2024-01-19 03:06:47,977 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c' 2024-01-19 03:06:47,978 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c' 2024-01-19 03:06:47,979 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c' 2024-01-19 03:06:47,980 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c' 2024-01-19 03:06:47,981 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c' 2024-01-19 03:06:47,982 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c' 2024-01-19 03:06:47,983 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c' 2024-01-19 03:06:47,984 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c' 2024-01-19 03:06:47,984 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c' 2024-01-19 03:06:47,985 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c' 2024-01-19 03:06:47,986 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c' 2024-01-19 03:06:47,987 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c' 2024-01-19 03:06:47,988 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c' 2024-01-19 03:06:47,989 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c' 2024-01-19 03:06:47,990 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c' 2024-01-19 03:06:47,991 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c' 2024-01-19 03:06:47,992 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c' 2024-01-19 03:06:47,993 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c' 2024-01-19 03:06:47,993 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c' 2024-01-19 03:06:47,994 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c' 2024-01-19 03:06:47,995 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c' 2024-01-19 03:06:47,996 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c' 2024-01-19 03:06:47,997 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c' 2024-01-19 03:06:47,998 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c' 2024-01-19 03:06:47,999 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c' 2024-01-19 03:06:48,000 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c' 2024-01-19 03:06:48,001 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c' 2024-01-19 03:06:48,002 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c' 2024-01-19 03:06:48,003 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c' 2024-01-19 03:06:48,004 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c' 2024-01-19 03:06:48,004 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c' 2024-01-19 03:06:48,005 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c' 2024-01-19 03:06:48,006 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c' 2024-01-19 03:06:48,007 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c' 2024-01-19 03:06:48,008 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c' 2024-01-19 03:06:48,009 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c' 2024-01-19 03:06:48,010 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c' 2024-01-19 03:06:48,011 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c' 2024-01-19 03:06:48,012 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c' 2024-01-19 03:06:48,013 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c' 2024-01-19 03:06:48,014 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c' 2024-01-19 03:06:48,015 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c' 2024-01-19 03:06:48,016 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c' 2024-01-19 03:06:48,017 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c' 2024-01-19 03:06:48,017 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c' 2024-01-19 03:06:48,018 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c' 2024-01-19 03:06:48,019 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c' 2024-01-19 03:06:48,020 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c' 2024-01-19 03:06:48,021 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h' 2024-01-19 03:06:48,022 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c' 2024-01-19 03:06:48,023 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx' 2024-01-19 03:06:48,024 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c' 2024-01-19 03:06:48,025 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c' 2024-01-19 03:06:48,026 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c' 2024-01-19 03:06:48,027 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c' 2024-01-19 03:06:48,028 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c' 2024-01-19 03:06:48,029 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c' 2024-01-19 03:06:48,030 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c' 2024-01-19 03:06:48,030 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c' 2024-01-19 03:06:48,031 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c' 2024-01-19 03:06:48,032 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c' 2024-01-19 03:06:48,033 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c' 2024-01-19 03:06:48,034 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c' 2024-01-19 03:06:48,035 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c' 2024-01-19 03:06:48,036 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c' 2024-01-19 03:06:48,037 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c' 2024-01-19 03:06:48,038 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c' 2024-01-19 03:06:48,039 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c' 2024-01-19 03:06:48,040 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c' 2024-01-19 03:06:48,041 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c' 2024-01-19 03:06:48,041 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c' 2024-01-19 03:06:48,042 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c' 2024-01-19 03:06:48,043 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c' 2024-01-19 03:06:48,044 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c' 2024-01-19 03:06:48,045 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c' 2024-01-19 03:06:48,046 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c' 2024-01-19 03:06:48,047 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c' 2024-01-19 03:06:48,048 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c' 2024-01-19 03:06:48,049 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c' 2024-01-19 03:06:48,050 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c' 2024-01-19 03:06:48,051 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c' 2024-01-19 03:06:48,052 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c' 2024-01-19 03:06:48,053 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c' 2024-01-19 03:06:48,054 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c' 2024-01-19 03:06:48,055 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c' 2024-01-19 03:06:48,056 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c' 2024-01-19 03:06:48,057 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c' 2024-01-19 03:06:48,058 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c' 2024-01-19 03:06:48,059 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c' 2024-01-19 03:06:48,060 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c' 2024-01-19 03:06:48,061 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c' 2024-01-19 03:06:48,061 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c' 2024-01-19 03:06:48,062 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c' 2024-01-19 03:06:48,063 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c' 2024-01-19 03:06:48,064 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c' 2024-01-19 03:06:48,065 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c' 2024-01-19 03:06:48,066 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c' 2024-01-19 03:06:48,067 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c' 2024-01-19 03:06:48,068 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c' 2024-01-19 03:06:48,069 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c' 2024-01-19 03:06:48,070 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c' 2024-01-19 03:06:48,071 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c' 2024-01-19 03:06:48,072 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c' 2024-01-19 03:06:48,072 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c' 2024-01-19 03:06:48,073 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c' 2024-01-19 03:06:48,074 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c' 2024-01-19 03:06:48,075 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c' 2024-01-19 03:06:48,076 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c' 2024-01-19 03:06:48,077 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c' 2024-01-19 03:06:48,078 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/test' 2024-01-19 03:06:48,079 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c' 2024-01-19 03:06:48,080 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c' 2024-01-19 03:06:48,081 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c' 2024-01-19 03:06:48,082 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c' 2024-01-19 03:06:48,082 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c' 2024-01-19 03:06:48,083 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c' 2024-01-19 03:06:48,084 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c' 2024-01-19 03:06:48,085 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c' 2024-01-19 03:06:48,086 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c' 2024-01-19 03:06:48,087 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c' 2024-01-19 03:06:48,093 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c' 2024-01-19 03:06:48,126 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c' 2024-01-19 03:06:48,165 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c' 2024-01-19 03:06:48,436 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c' 2024-01-19 03:06:48,437 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c' 2024-01-19 03:06:48,438 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c' 2024-01-19 03:06:48,439 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c' 2024-01-19 03:06:48,440 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c' 2024-01-19 03:06:48,440 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c' 2024-01-19 03:06:48,441 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c' 2024-01-19 03:06:48,442 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c' 2024-01-19 03:06:48,443 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c' 2024-01-19 03:06:48,444 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c' 2024-01-19 03:06:48,445 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c' 2024-01-19 03:06:48,446 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c' 2024-01-19 03:06:48,447 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c' 2024-01-19 03:06:48,448 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c' 2024-01-19 03:06:48,449 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S' 2024-01-19 03:06:48,450 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h' 2024-01-19 03:06:48,451 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h' 2024-01-19 03:06:48,452 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c' 2024-01-19 03:06:48,453 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c' 2024-01-19 03:06:48,459 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h' 2024-01-19 03:06:48,499 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c' 2024-01-19 03:06:48,503 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h' 2024-01-19 03:06:48,524 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c' 2024-01-19 03:06:48,529 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c' 2024-01-19 03:06:48,531 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c' 2024-01-19 03:06:48,534 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c' 2024-01-19 03:06:48,539 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test' 2024-01-19 03:06:48,540 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c' 2024-01-19 03:06:48,541 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c' 2024-01-19 03:06:48,542 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c' 2024-01-19 03:06:48,542 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c' 2024-01-19 03:06:48,543 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c' 2024-01-19 03:06:48,544 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c' 2024-01-19 03:06:48,545 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c' 2024-01-19 03:06:48,546 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c' 2024-01-19 03:06:48,547 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c' 2024-01-19 03:06:48,548 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c' 2024-01-19 03:06:48,549 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c' 2024-01-19 03:06:48,549 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c' 2024-01-19 03:06:48,550 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c' 2024-01-19 03:06:48,551 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c' 2024-01-19 03:06:48,552 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/time' 2024-01-19 03:06:48,553 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/timing.h' 2024-01-19 03:06:48,554 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c' 2024-01-19 03:06:48,555 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c' 2024-01-19 03:06:48,556 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt' 2024-01-19 03:06:48,557 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/README.txt' 2024-01-19 03:06:48,557 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp' 2024-01-19 03:06:48,558 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp' 2024-01-19 03:06:48,559 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp' 2024-01-19 03:06:48,560 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/create-derivers.test' 2024-01-19 03:06:48,561 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/lit.cfg' 2024-01-19 03:06:48,562 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in' 2024-01-19 03:06:48,563 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp' 2024-01-19 03:06:48,564 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp' 2024-01-19 03:06:48,565 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp' 2024-01-19 03:06:48,565 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/sibling.cpp' 2024-01-19 03:06:48,566 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp' 2024-01-19 03:06:48,567 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp' 2024-01-19 03:06:48,568 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/utils.h' 2024-01-19 03:06:48,569 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp' 2024-01-19 03:06:48,570 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c' 2024-01-19 03:06:48,571 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c' 2024-01-19 03:06:48,572 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg' 2024-01-19 03:06:48,573 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt' 2024-01-19 03:06:48,574 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/basic.c' 2024-01-19 03:06:48,575 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/custom.cc' 2024-01-19 03:06:48,576 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c' 2024-01-19 03:06:48,577 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/flags.c' 2024-01-19 03:06:48,578 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/fncall.c' 2024-01-19 03:06:48,579 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/label_count.c' 2024-01-19 03:06:48,579 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/lit.cfg' 2024-01-19 03:06:48,580 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in' 2024-01-19 03:06:48,581 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/propagate.c' 2024-01-19 03:06:48,582 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/vararg.c' 2024-01-19 03:06:48,583 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/write_callback.c' 2024-01-19 03:06:48,584 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt' 2024-01-19 03:06:48,585 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt' 2024-01-19 03:06:48,586 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg' 2024-01-19 03:06:48,587 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in' 2024-01-19 03:06:48,588 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc' 2024-01-19 03:06:48,589 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc' 2024-01-19 03:06:48,590 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc' 2024-01-19 03:06:48,590 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc' 2024-01-19 03:06:48,591 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc' 2024-01-19 03:06:48,592 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc' 2024-01-19 03:06:48,593 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc' 2024-01-19 03:06:48,594 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc' 2024-01-19 03:06:48,595 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc' 2024-01-19 03:06:48,596 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc' 2024-01-19 03:06:48,596 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc' 2024-01-19 03:06:48,597 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc' 2024-01-19 03:06:48,598 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc' 2024-01-19 03:06:48,599 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc' 2024-01-19 03:06:48,600 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc' 2024-01-19 03:06:48,601 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc' 2024-01-19 03:06:48,602 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc' 2024-01-19 03:06:48,602 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc' 2024-01-19 03:06:48,603 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c' 2024-01-19 03:06:48,604 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc' 2024-01-19 03:06:48,605 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc' 2024-01-19 03:06:48,606 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc' 2024-01-19 03:06:48,607 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc' 2024-01-19 03:06:48,608 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc' 2024-01-19 03:06:48,609 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc' 2024-01-19 03:06:48,609 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc' 2024-01-19 03:06:48,610 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc' 2024-01-19 03:06:48,611 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc' 2024-01-19 03:06:48,612 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc' 2024-01-19 03:06:48,613 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc' 2024-01-19 03:06:48,614 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc' 2024-01-19 03:06:48,615 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc' 2024-01-19 03:06:48,615 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc' 2024-01-19 03:06:48,616 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc' 2024-01-19 03:06:48,617 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc' 2024-01-19 03:06:48,619 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt' 2024-01-19 03:06:48,620 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc' 2024-01-19 03:06:48,621 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc' 2024-01-19 03:06:48,621 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/backtrace.cc' 2024-01-19 03:06:48,622 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/c-strdup.c' 2024-01-19 03:06:48,623 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin.cc' 2024-01-19 03:06:48,624 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc' 2024-01-19 03:06:48,625 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc' 2024-01-19 03:06:48,626 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc' 2024-01-19 03:06:48,627 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc' 2024-01-19 03:06:48,628 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc' 2024-01-19 03:06:48,629 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc' 2024-01-19 03:06:48,629 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc' 2024-01-19 03:06:48,630 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/death-callback.cc' 2024-01-19 03:06:48,631 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc' 2024-01-19 03:06:48,632 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dlerror.cc' 2024-01-19 03:06:48,633 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dso-origin.cc' 2024-01-19 03:06:48,634 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtls_test.c' 2024-01-19 03:06:48,635 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc' 2024-01-19 03:06:48,636 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc' 2024-01-19 03:06:48,636 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc' 2024-01-19 03:06:48,637 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-member.cc' 2024-01-19 03:06:48,638 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc' 2024-01-19 03:06:48,639 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc' 2024-01-19 03:06:48,640 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc' 2024-01-19 03:06:48,641 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp' 2024-01-19 03:06:48,642 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc' 2024-01-19 03:06:48,643 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc' 2024-01-19 03:06:48,644 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/errno.cc' 2024-01-19 03:06:48,644 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/fork.cc' 2024-01-19 03:06:48,645 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ftime.cc' 2024-01-19 03:06:48,646 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc' 2024-01-19 03:06:48,647 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc' 2024-01-19 03:06:48,648 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c' 2024-01-19 03:06:48,649 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getline.cc' 2024-01-19 03:06:48,650 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/heap-origin.cc' 2024-01-19 03:06:48,650 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc' 2024-01-19 03:06:48,651 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/iconv.cc' 2024-01-19 03:06:48,652 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc' 2024-01-19 03:06:48,653 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc' 2024-01-19 03:06:48,655 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/initgroups.cc' 2024-01-19 03:06:48,656 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/inline.cc' 2024-01-19 03:06:48,656 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc' 2024-01-19 03:06:48,657 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ioctl.cc' 2024-01-19 03:06:48,658 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc' 2024-01-19 03:06:48,659 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc' 2024-01-19 03:06:48,660 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/keep-going.cc' 2024-01-19 03:06:48,661 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/lit.cfg' 2024-01-19 03:06:48,662 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in' 2024-01-19 03:06:48,662 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc' 2024-01-19 03:06:48,663 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mktime.cc' 2024-01-19 03:06:48,664 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mmap.cc' 2024-01-19 03:06:48,665 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc' 2024-01-19 03:06:48,666 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc' 2024-01-19 03:06:48,667 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc' 2024-01-19 03:06:48,668 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc' 2024-01-19 03:06:48,669 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc' 2024-01-19 03:06:48,670 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc' 2024-01-19 03:06:48,670 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc' 2024-01-19 03:06:48,671 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc' 2024-01-19 03:06:48,672 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc' 2024-01-19 03:06:48,673 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc' 2024-01-19 03:06:48,674 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc' 2024-01-19 03:06:48,675 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc' 2024-01-19 03:06:48,676 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc' 2024-01-19 03:06:48,676 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/print_stats.cc' 2024-01-19 03:06:48,677 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc' 2024-01-19 03:06:48,678 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc' 2024-01-19 03:06:48,679 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/rand_r.cc' 2024-01-19 03:06:48,680 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/readdir64.cc' 2024-01-19 03:06:48,681 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc' 2024-01-19 03:06:48,682 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc' 2024-01-19 03:06:48,682 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/report-demangling.cc' 2024-01-19 03:06:48,683 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir.cc' 2024-01-19 03:06:48,684 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_null.cc' 2024-01-19 03:06:48,685 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select.cc' 2024-01-19 03:06:48,686 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc' 2024-01-19 03:06:48,687 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select_origin.cc' 2024-01-19 03:06:48,688 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc' 2024-01-19 03:06:48,689 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/setlocale.cc' 2024-01-19 03:06:48,689 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc' 2024-01-19 03:06:48,690 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sigwait.cc' 2024-01-19 03:06:48,691 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc' 2024-01-19 03:06:48,692 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/stack-origin.cc' 2024-01-19 03:06:48,693 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc' 2024-01-19 03:06:48,694 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c' 2024-01-19 03:06:48,695 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc' 2024-01-19 03:06:48,695 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strxfrm.cc' 2024-01-19 03:06:48,696 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc' 2024-01-19 03:06:48,697 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/test.h' 2024-01-19 03:06:48,698 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/textdomain.cc' 2024-01-19 03:06:48,699 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/times.cc' 2024-01-19 03:06:48,700 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc' 2024-01-19 03:06:48,701 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tsearch.cc' 2024-01-19 03:06:48,701 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tzset.cc' 2024-01-19 03:06:48,702 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc' 2024-01-19 03:06:48,703 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc' 2024-01-19 03:06:48,704 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc' 2024-01-19 03:06:48,705 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/use-after-free.cc' 2024-01-19 03:06:48,706 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc' 2024-01-19 03:06:48,707 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/vector_select.cc' 2024-01-19 03:06:48,708 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc' 2024-01-19 03:06:48,709 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc' 2024-01-19 03:06:48,709 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc' 2024-01-19 03:06:48,710 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc' 2024-01-19 03:06:48,711 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc' 2024-01-19 03:06:48,712 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc' 2024-01-19 03:06:48,713 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc' 2024-01-19 03:06:48,714 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg' 2024-01-19 03:06:48,715 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc' 2024-01-19 03:06:48,716 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc' 2024-01-19 03:06:48,716 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc' 2024-01-19 03:06:48,717 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc' 2024-01-19 03:06:48,718 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc' 2024-01-19 03:06:48,719 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc' 2024-01-19 03:06:48,720 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc' 2024-01-19 03:06:48,721 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc' 2024-01-19 03:06:48,722 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc' 2024-01-19 03:06:48,723 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc' 2024-01-19 03:06:48,724 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa' 2024-01-19 03:06:48,724 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab' 2024-01-19 03:06:48,725 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba' 2024-01-19 03:06:48,726 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a' 2024-01-19 03:06:48,727 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in' 2024-01-19 03:06:48,728 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa' 2024-01-19 03:06:48,729 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab' 2024-01-19 03:06:48,730 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb' 2024-01-19 03:06:48,731 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt' 2024-01-19 03:06:48,732 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test' 2024-01-19 03:06:48,733 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c' 2024-01-19 03:06:48,734 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test' 2024-01-19 03:06:48,735 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test' 2024-01-19 03:06:48,736 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test' 2024-01-19 03:06:48,736 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c' 2024-01-19 03:06:48,737 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c' 2024-01-19 03:06:48,738 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c' 2024-01-19 03:06:48,739 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c' 2024-01-19 03:06:48,740 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c' 2024-01-19 03:06:48,741 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c' 2024-01-19 03:06:48,742 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c' 2024-01-19 03:06:48,742 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c' 2024-01-19 03:06:48,743 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c' 2024-01-19 03:06:48,744 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c' 2024-01-19 03:06:48,745 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/lit.cfg' 2024-01-19 03:06:48,746 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in' 2024-01-19 03:06:48,747 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c' 2024-01-19 03:06:48,748 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c' 2024-01-19 03:06:48,749 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c' 2024-01-19 03:06:48,749 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c' 2024-01-19 03:06:48,750 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp' 2024-01-19 03:06:48,751 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp' 2024-01-19 03:06:48,752 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h' 2024-01-19 03:06:48,753 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp' 2024-01-19 03:06:48,754 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt' 2024-01-19 03:06:48,755 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c' 2024-01-19 03:06:48,755 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c' 2024-01-19 03:06:48,756 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/init.c' 2024-01-19 03:06:48,757 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lit.cfg' 2024-01-19 03:06:48,758 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in' 2024-01-19 03:06:48,759 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lto.c' 2024-01-19 03:06:48,760 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/overflow.c' 2024-01-19 03:06:48,760 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c' 2024-01-19 03:06:48,761 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/pthread.c' 2024-01-19 03:06:48,762 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/utils.h' 2024-01-19 03:06:48,763 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt' 2024-01-19 03:06:48,764 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg' 2024-01-19 03:06:48,765 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in' 2024-01-19 03:06:48,766 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc' 2024-01-19 03:06:48,767 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc' 2024-01-19 03:06:48,768 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc' 2024-01-19 03:06:48,769 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc' 2024-01-19 03:06:48,770 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc' 2024-01-19 03:06:48,770 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc' 2024-01-19 03:06:48,771 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc' 2024-01-19 03:06:48,772 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c' 2024-01-19 03:06:48,773 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c' 2024-01-19 03:06:48,774 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c' 2024-01-19 03:06:48,775 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c' 2024-01-19 03:06:48,776 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c' 2024-01-19 03:06:48,777 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc' 2024-01-19 03:06:48,777 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg' 2024-01-19 03:06:48,779 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc' 2024-01-19 03:06:48,779 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c' 2024-01-19 03:06:48,780 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc' 2024-01-19 03:06:48,781 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c' 2024-01-19 03:06:48,782 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc' 2024-01-19 03:06:48,783 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc' 2024-01-19 03:06:48,784 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc' 2024-01-19 03:06:48,785 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc' 2024-01-19 03:06:48,785 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg' 2024-01-19 03:06:48,786 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc' 2024-01-19 03:06:48,787 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc' 2024-01-19 03:06:48,788 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc' 2024-01-19 03:06:48,789 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc' 2024-01-19 03:06:48,790 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc' 2024-01-19 03:06:48,791 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc' 2024-01-19 03:06:48,792 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc' 2024-01-19 03:06:48,792 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc' 2024-01-19 03:06:48,793 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc' 2024-01-19 03:06:48,794 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc' 2024-01-19 03:06:48,795 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg' 2024-01-19 03:06:48,796 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in' 2024-01-19 03:06:48,798 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt' 2024-01-19 03:06:48,799 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc' 2024-01-19 03:06:48,800 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc' 2024-01-19 03:06:48,801 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc' 2024-01-19 03:06:48,802 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atexit.cc' 2024-01-19 03:06:48,803 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atexit2.cc' 2024-01-19 03:06:48,803 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc' 2024-01-19 03:06:48,804 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc' 2024-01-19 03:06:48,805 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc' 2024-01-19 03:06:48,806 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc' 2024-01-19 03:06:48,807 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc' 2024-01-19 03:06:48,808 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc' 2024-01-19 03:06:48,809 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/barrier.cc' 2024-01-19 03:06:48,810 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench.h' 2024-01-19 03:06:48,810 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc' 2024-01-19 03:06:48,811 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc' 2024-01-19 03:06:48,812 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc' 2024-01-19 03:06:48,813 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc' 2024-01-19 03:06:48,814 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc' 2024-01-19 03:06:48,815 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc' 2024-01-19 03:06:48,816 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc' 2024-01-19 03:06:48,817 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc' 2024-01-19 03:06:48,817 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc' 2024-01-19 03:06:48,818 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/benign_race.cc' 2024-01-19 03:06:48,819 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/blacklist.cc' 2024-01-19 03:06:48,820 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc' 2024-01-19 03:06:48,821 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond.c' 2024-01-19 03:06:48,822 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c' 2024-01-19 03:06:48,823 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc' 2024-01-19 03:06:48,823 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_race.cc' 2024-01-19 03:06:48,824 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_version.c' 2024-01-19 03:06:48,825 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc' 2024-01-19 03:06:48,826 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc' 2024-01-19 03:06:48,827 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/default_options.cc' 2024-01-19 03:06:48,828 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deflake.bash' 2024-01-19 03:06:48,829 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc' 2024-01-19 03:06:48,830 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/dlclose.cc' 2024-01-19 03:06:48,831 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc' 2024-01-19 03:06:48,832 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc' 2024-01-19 03:06:48,832 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc' 2024-01-19 03:06:48,833 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc' 2024-01-19 03:06:48,834 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc' 2024-01-19 03:06:48,835 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_location.cc' 2024-01-19 03:06:48,836 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc' 2024-01-19 03:06:48,837 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc' 2024-01-19 03:06:48,838 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc' 2024-01-19 03:06:48,838 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc' 2024-01-19 03:06:48,839 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc' 2024-01-19 03:06:48,840 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc' 2024-01-19 03:06:48,841 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc' 2024-01-19 03:06:48,842 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc' 2024-01-19 03:06:48,843 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc' 2024-01-19 03:06:48,844 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc' 2024-01-19 03:06:48,844 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race.c' 2024-01-19 03:06:48,845 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp' 2024-01-19 03:06:48,846 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race2.c' 2024-01-19 03:06:48,847 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc' 2024-01-19 03:06:48,848 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race.cc' 2024-01-19 03:06:48,849 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race2.cc' 2024-01-19 03:06:48,849 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race3.cc' 2024-01-19 03:06:48,850 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc' 2024-01-19 03:06:48,851 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/heap_race.cc' 2024-01-19 03:06:48,852 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc' 2024-01-19 03:06:48,853 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc' 2024-01-19 03:06:48,854 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp' 2024-01-19 03:06:48,855 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc' 2024-01-19 03:06:48,855 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp' 2024-01-19 03:06:48,856 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc' 2024-01-19 03:06:48,857 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp' 2024-01-19 03:06:48,858 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc' 2024-01-19 03:06:48,859 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp' 2024-01-19 03:06:48,860 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h' 2024-01-19 03:06:48,861 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc' 2024-01-19 03:06:48,861 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc' 2024-01-19 03:06:48,862 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc' 2024-01-19 03:06:48,863 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc' 2024-01-19 03:06:48,864 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc' 2024-01-19 03:06:48,865 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c' 2024-01-19 03:06:48,866 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java.h' 2024-01-19 03:06:48,867 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc' 2024-01-19 03:06:48,868 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc' 2024-01-19 03:06:48,868 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc' 2024-01-19 03:06:48,869 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock.cc' 2024-01-19 03:06:48,870 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc' 2024-01-19 03:06:48,871 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc' 2024-01-19 03:06:48,872 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc' 2024-01-19 03:06:48,873 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc' 2024-01-19 03:06:48,874 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc' 2024-01-19 03:06:48,875 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race.cc' 2024-01-19 03:06:48,875 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc' 2024-01-19 03:06:48,876 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc' 2024-01-19 03:06:48,877 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc' 2024-01-19 03:06:48,878 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc' 2024-01-19 03:06:48,879 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc' 2024-01-19 03:06:48,880 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc' 2024-01-19 03:06:48,881 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/lit.cfg' 2024-01-19 03:06:48,882 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in' 2024-01-19 03:06:48,882 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc' 2024-01-19 03:06:48,883 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp.cc' 2024-01-19 03:06:48,884 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc' 2024-01-19 03:06:48,885 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc' 2024-01-19 03:06:48,886 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc' 2024-01-19 03:06:48,887 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc' 2024-01-19 03:06:48,888 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc' 2024-01-19 03:06:48,888 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/map32bit.cc' 2024-01-19 03:06:48,889 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc' 2024-01-19 03:06:48,890 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc' 2024-01-19 03:06:48,891 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc' 2024-01-19 03:06:48,892 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc' 2024-01-19 03:06:48,893 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop1.c' 2024-01-19 03:06:48,894 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc' 2024-01-19 03:06:48,894 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc' 2024-01-19 03:06:48,895 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc' 2024-01-19 03:06:48,896 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc' 2024-01-19 03:06:48,897 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc' 2024-01-19 03:06:48,898 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc' 2024-01-19 03:06:48,899 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c' 2024-01-19 03:06:48,900 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc' 2024-01-19 03:06:48,900 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc' 2024-01-19 03:06:48,901 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc' 2024-01-19 03:06:48,902 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc' 2024-01-19 03:06:48,903 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc' 2024-01-19 03:06:48,904 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc' 2024-01-19 03:06:48,905 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc' 2024-01-19 03:06:48,906 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc' 2024-01-19 03:06:48,907 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc' 2024-01-19 03:06:48,907 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc' 2024-01-19 03:06:48,908 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/printf-1.c' 2024-01-19 03:06:48,909 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c' 2024-01-19 03:06:48,910 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c' 2024-01-19 03:06:48,911 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c' 2024-01-19 03:06:48,912 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc' 2024-01-19 03:06:48,913 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c' 2024-01-19 03:06:48,913 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c' 2024-01-19 03:06:48,914 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc' 2024-01-19 03:06:48,915 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc' 2024-01-19 03:06:48,916 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc' 2024-01-19 03:06:48,917 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc' 2024-01-19 03:06:48,918 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_stress.cc' 2024-01-19 03:06:48,919 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc' 2024-01-19 03:06:48,919 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc' 2024-01-19 03:06:48,920 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc' 2024-01-19 03:06:48,921 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc' 2024-01-19 03:06:48,922 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc' 2024-01-19 03:06:48,923 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/setuid.c' 2024-01-19 03:06:48,924 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/setuid2.c' 2024-01-19 03:06:48,925 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc' 2024-01-19 03:06:48,925 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc' 2024-01-19 03:06:48,926 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc' 2024-01-19 03:06:48,927 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc' 2024-01-19 03:06:48,928 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc' 2024-01-19 03:06:48,929 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc' 2024-01-19 03:06:48,930 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc' 2024-01-19 03:06:48,931 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc' 2024-01-19 03:06:48,932 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_write.cc' 2024-01-19 03:06:48,932 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc' 2024-01-19 03:06:48,933 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_race.c' 2024-01-19 03:06:48,934 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_race.cc' 2024-01-19 03:06:48,935 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_stack.c' 2024-01-19 03:06:48,936 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc' 2024-01-19 03:06:48,937 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc' 2024-01-19 03:06:48,938 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc' 2024-01-19 03:06:48,939 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_race.cc' 2024-01-19 03:06:48,939 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc' 2024-01-19 03:06:48,940 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc' 2024-01-19 03:06:48,941 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init1.cc' 2024-01-19 03:06:48,942 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init2.cc' 2024-01-19 03:06:48,943 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init3.cc' 2024-01-19 03:06:48,944 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init4.cc' 2024-01-19 03:06:48,945 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init5.cc' 2024-01-19 03:06:48,945 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init6.cc' 2024-01-19 03:06:48,946 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc' 2024-01-19 03:06:48,947 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc' 2024-01-19 03:06:48,948 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc' 2024-01-19 03:06:48,949 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc' 2024-01-19 03:06:48,950 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp' 2024-01-19 03:06:48,950 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc' 2024-01-19 03:06:48,951 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp' 2024-01-19 03:06:48,952 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc' 2024-01-19 03:06:48,953 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp' 2024-01-19 03:06:48,954 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/test.h' 2024-01-19 03:06:48,955 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/test_output.sh' 2024-01-19 03:06:48,956 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_detach.c' 2024-01-19 03:06:48,957 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c' 2024-01-19 03:06:48,958 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc' 2024-01-19 03:06:48,959 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc' 2024-01-19 03:06:48,960 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc' 2024-01-19 03:06:48,961 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak.c' 2024-01-19 03:06:48,962 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c' 2024-01-19 03:06:48,962 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c' 2024-01-19 03:06:48,963 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c' 2024-01-19 03:06:48,964 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c' 2024-01-19 03:06:48,965 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_name.cc' 2024-01-19 03:06:48,966 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc' 2024-01-19 03:06:48,967 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tiny_race.c' 2024-01-19 03:06:48,968 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tls_race.cc' 2024-01-19 03:06:48,968 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc' 2024-01-19 03:06:48,969 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc' 2024-01-19 03:06:48,970 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc' 2024-01-19 03:06:48,971 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc' 2024-01-19 03:06:48,972 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vfork.cc' 2024-01-19 03:06:48,973 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc' 2024-01-19 03:06:48,974 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc' 2024-01-19 03:06:48,975 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc' 2024-01-19 03:06:48,975 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc' 2024-01-19 03:06:48,976 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc' 2024-01-19 03:06:48,977 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc' 2024-01-19 03:06:48,978 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc' 2024-01-19 03:06:48,979 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg' 2024-01-19 03:06:48,980 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc' 2024-01-19 03:06:48,981 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc' 2024-01-19 03:06:48,982 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc' 2024-01-19 03:06:48,982 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc' 2024-01-19 03:06:48,983 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in' 2024-01-19 03:06:48,984 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg' 2024-01-19 03:06:48,985 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc' 2024-01-19 03:06:48,986 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt' 2024-01-19 03:06:48,987 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg' 2024-01-19 03:06:48,988 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in' 2024-01-19 03:06:48,989 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp' 2024-01-19 03:06:48,990 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp' 2024-01-19 03:06:48,991 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp' 2024-01-19 03:06:48,992 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp' 2024-01-19 03:06:48,993 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp' 2024-01-19 03:06:48,994 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp' 2024-01-19 03:06:48,995 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp' 2024-01-19 03:06:48,996 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp' 2024-01-19 03:06:48,996 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp' 2024-01-19 03:06:48,997 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp' 2024-01-19 03:06:48,998 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp' 2024-01-19 03:06:48,999 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp' 2024-01-19 03:06:49,000 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp' 2024-01-19 03:06:49,001 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp' 2024-01-19 03:06:49,002 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp' 2024-01-19 03:06:49,003 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp' 2024-01-19 03:06:49,004 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp' 2024-01-19 03:06:49,005 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc' 2024-01-19 03:06:49,005 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp' 2024-01-19 03:06:49,006 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp' 2024-01-19 03:06:49,007 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc' 2024-01-19 03:06:49,008 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp' 2024-01-19 03:06:49,009 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp' 2024-01-19 03:06:49,010 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp' 2024-01-19 03:06:49,011 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp' 2024-01-19 03:06:49,012 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c' 2024-01-19 03:06:49,012 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg' 2024-01-19 03:06:49,013 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc' 2024-01-19 03:06:49,014 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp' 2024-01-19 03:06:49,015 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp' 2024-01-19 03:06:49,016 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp' 2024-01-19 03:06:49,017 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp' 2024-01-19 03:06:49,018 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp' 2024-01-19 03:06:49,019 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp' 2024-01-19 03:06:49,020 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg' 2024-01-19 03:06:49,021 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/CMakeLists.txt' 2024-01-19 03:06:49,022 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg' 2024-01-19 03:06:49,023 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in' 2024-01-19 03:06:49,024 wheel INFO adding 'pythondata_software_compiler_rt/data/www/content.css' 2024-01-19 03:06:49,025 wheel INFO adding 'pythondata_software_compiler_rt/data/www/index.html' 2024-01-19 03:06:49,026 wheel INFO adding 'pythondata_software_compiler_rt/data/www/menu.css' 2024-01-19 03:06:49,026 wheel INFO adding 'pythondata_software_compiler_rt/data/www/menu.html.incl' 2024-01-19 03:06:49,028 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/METADATA' 2024-01-19 03:06:49,028 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/WHEEL' 2024-01-19 03:06:49,029 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/top_level.txt' 2024-01-19 03:06:49,092 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/RECORD' 2024-01-19 03:06:49,136 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:06:49,215 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl --> Building LiteX Hub module pythondata-software-picolibc 2024-01-19 03:06:50,296 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:06:50,546 root INFO running bdist_wheel 2024-01-19 03:06:50,621 root INFO running build 2024-01-19 03:06:50,621 root INFO running build_py 2024-01-19 03:06:50,632 root INFO creating build 2024-01-19 03:06:50,633 root INFO creating build/lib 2024-01-19 03:06:50,633 root INFO creating build/lib/pythondata_software_picolibc 2024-01-19 03:06:50,634 root INFO copying pythondata_software_picolibc/__init__.py -> build/lib/pythondata_software_picolibc 2024-01-19 03:06:50,645 root INFO creating build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:50,646 root INFO creating build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:50,646 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:50,646 root INFO copying pythondata_software_picolibc/data/newlib/doc/makedocbook.py -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:50,647 root INFO copying pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:50,728 root INFO running egg_info 2024-01-19 03:06:50,728 root INFO creating pythondata_software_picolibc.egg-info 2024-01-19 03:06:50,735 root INFO writing pythondata_software_picolibc.egg-info/PKG-INFO 2024-01-19 03:06:50,738 root INFO writing dependency_links to pythondata_software_picolibc.egg-info/dependency_links.txt 2024-01-19 03:06:50,740 root INFO writing top-level names to pythondata_software_picolibc.egg-info/top_level.txt 2024-01-19 03:06:50,740 root INFO writing manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-01-19 03:06:50,905 root INFO reading manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-01-19 03:06:50,906 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 03:06:51,117 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 03:06:51,118 root INFO adding license file 'LICENSE' 2024-01-19 03:06:51,351 root INFO writing manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-01-19 03:06:51,892 root INFO copying pythondata_software_picolibc/data/.clang-format -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,893 root INFO copying pythondata_software_picolibc/data/.editorconfig -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,894 root INFO copying pythondata_software_picolibc/data/.gitattributes -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,894 root INFO copying pythondata_software_picolibc/data/.gitignore -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,895 root INFO copying pythondata_software_picolibc/data/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,896 root INFO copying pythondata_software_picolibc/data/CODE_OF_CONDUCT.md -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,897 root INFO copying pythondata_software_picolibc/data/CONTRIBUTING.md -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,898 root INFO copying pythondata_software_picolibc/data/COPYING.GPL2 -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,899 root INFO copying pythondata_software_picolibc/data/COPYING.NEWLIB -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,900 root INFO copying pythondata_software_picolibc/data/COPYING.picolibc -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,901 root INFO copying pythondata_software_picolibc/data/README.md -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,902 root INFO copying pythondata_software_picolibc/data/cross.tmpl -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,903 root INFO copying pythondata_software_picolibc/data/find-copyright -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,904 root INFO copying pythondata_software_picolibc/data/make-copyrights -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,905 root INFO copying pythondata_software_picolibc/data/meson.build -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,906 root INFO copying pythondata_software_picolibc/data/meson_options.txt -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,907 root INFO copying pythondata_software_picolibc/data/picolibc.h.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,908 root INFO copying pythondata_software_picolibc/data/picolibc.ld.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,908 root INFO copying pythondata_software_picolibc/data/picolibc.specs.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,909 root INFO copying pythondata_software_picolibc/data/test.specs.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 03:06:51,910 root INFO creating build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,910 root INFO copying pythondata_software_picolibc/data/.github/CODEOWNERS -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,911 root INFO copying pythondata_software_picolibc/data/.github/Dockerfile -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,912 root INFO copying pythondata_software_picolibc/data/.github/do-build -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,913 root INFO copying pythondata_software_picolibc/data/.github/do-cmake-test -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,914 root INFO copying pythondata_software_picolibc/data/.github/do-many -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,915 root INFO copying pythondata_software_picolibc/data/.github/do-test -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,915 root INFO copying pythondata_software_picolibc/data/.github/do-zephyr -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,916 root INFO copying pythondata_software_picolibc/data/.github/do-zephyr-build -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,917 root INFO copying pythondata_software_picolibc/data/.github/extra-files.txt -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,918 root INFO copying pythondata_software_picolibc/data/.github/packages.txt -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 03:06:51,919 root INFO creating build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,919 root INFO copying pythondata_software_picolibc/data/.github/workflows/head -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,920 root INFO copying pythondata_software_picolibc/data/.github/workflows/linux.yml -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,921 root INFO copying pythondata_software_picolibc/data/.github/workflows/macos.yml -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,922 root INFO copying pythondata_software_picolibc/data/.github/workflows/make-workflow -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,922 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-cmake -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,923 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-fortify-source -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,924 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-head -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,925 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-minsize -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,926 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-release -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,926 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-arm -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,927 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,928 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-mips -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,929 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-misc -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,929 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-ppc -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,930 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-riscv -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,931 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-zephyr -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,932 root INFO copying pythondata_software_picolibc/data/.github/workflows/variants -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,932 root INFO copying pythondata_software_picolibc/data/.github/workflows/variants-cmake -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:51,933 root INFO creating build/lib/pythondata_software_picolibc/data/dummyhost 2024-01-19 03:06:51,934 root INFO copying pythondata_software_picolibc/data/dummyhost/iob.c -> build/lib/pythondata_software_picolibc/data/dummyhost 2024-01-19 03:06:51,934 root INFO copying pythondata_software_picolibc/data/dummyhost/meson.build -> build/lib/pythondata_software_picolibc/data/dummyhost 2024-01-19 03:06:51,935 root INFO creating build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:51,935 root INFO copying pythondata_software_picolibc/data/zephyr/Kconfig -> build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:51,936 root INFO copying pythondata_software_picolibc/data/zephyr/module.yml -> build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:51,937 root INFO copying pythondata_software_picolibc/data/zephyr/zephyr.cmake -> build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:51,938 root INFO creating build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,938 root INFO copying pythondata_software_picolibc/data/semihost/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,939 root INFO copying pythondata_software_picolibc/data/semihost/close.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,940 root INFO copying pythondata_software_picolibc/data/semihost/exit.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,940 root INFO copying pythondata_software_picolibc/data/semihost/fstat.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,941 root INFO copying pythondata_software_picolibc/data/semihost/getentropy.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,942 root INFO copying pythondata_software_picolibc/data/semihost/gettimeofday.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,943 root INFO copying pythondata_software_picolibc/data/semihost/iob.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,943 root INFO copying pythondata_software_picolibc/data/semihost/isatty.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,944 root INFO copying pythondata_software_picolibc/data/semihost/kill.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,945 root INFO copying pythondata_software_picolibc/data/semihost/lseek.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,946 root INFO copying pythondata_software_picolibc/data/semihost/lseek64.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,946 root INFO copying pythondata_software_picolibc/data/semihost/mapstdio.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,947 root INFO copying pythondata_software_picolibc/data/semihost/meson.build -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,948 root INFO copying pythondata_software_picolibc/data/semihost/open.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,949 root INFO copying pythondata_software_picolibc/data/semihost/read.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,949 root INFO copying pythondata_software_picolibc/data/semihost/semihost-private.h -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,950 root INFO copying pythondata_software_picolibc/data/semihost/semihost.h -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,951 root INFO copying pythondata_software_picolibc/data/semihost/sys_clock.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,952 root INFO copying pythondata_software_picolibc/data/semihost/sys_close.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,952 root INFO copying pythondata_software_picolibc/data/semihost/sys_elapsed.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,953 root INFO copying pythondata_software_picolibc/data/semihost/sys_errno.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,954 root INFO copying pythondata_software_picolibc/data/semihost/sys_exit.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,955 root INFO copying pythondata_software_picolibc/data/semihost/sys_exit_extended.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,955 root INFO copying pythondata_software_picolibc/data/semihost/sys_feature.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,956 root INFO copying pythondata_software_picolibc/data/semihost/sys_flen.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,957 root INFO copying pythondata_software_picolibc/data/semihost/sys_get_cmdline.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,958 root INFO copying pythondata_software_picolibc/data/semihost/sys_getc.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,958 root INFO copying pythondata_software_picolibc/data/semihost/sys_heapinfo.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,959 root INFO copying pythondata_software_picolibc/data/semihost/sys_iserror.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,960 root INFO copying pythondata_software_picolibc/data/semihost/sys_istty.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,961 root INFO copying pythondata_software_picolibc/data/semihost/sys_open.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,961 root INFO copying pythondata_software_picolibc/data/semihost/sys_putc.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,962 root INFO copying pythondata_software_picolibc/data/semihost/sys_read.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,963 root INFO copying pythondata_software_picolibc/data/semihost/sys_remove.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,964 root INFO copying pythondata_software_picolibc/data/semihost/sys_rename.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,965 root INFO copying pythondata_software_picolibc/data/semihost/sys_seek.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,965 root INFO copying pythondata_software_picolibc/data/semihost/sys_system.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,966 root INFO copying pythondata_software_picolibc/data/semihost/sys_tickfreq.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,967 root INFO copying pythondata_software_picolibc/data/semihost/sys_time.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,968 root INFO copying pythondata_software_picolibc/data/semihost/sys_tmpnam.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,968 root INFO copying pythondata_software_picolibc/data/semihost/sys_write.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,969 root INFO copying pythondata_software_picolibc/data/semihost/sys_write0.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,970 root INFO copying pythondata_software_picolibc/data/semihost/unlink.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,971 root INFO copying pythondata_software_picolibc/data/semihost/write.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:51,971 root INFO creating build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,972 root INFO copying pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,973 root INFO copying pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,973 root INFO copying pythondata_software_picolibc/data/cmake/have-alias-attribute.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,974 root INFO copying pythondata_software_picolibc/data/cmake/have-alloc-size.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,975 root INFO copying pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,976 root INFO copying pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,976 root INFO copying pythondata_software_picolibc/data/cmake/have-long-double.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,977 root INFO copying pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,978 root INFO copying pythondata_software_picolibc/data/cmake/picolibc.cmake -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:51,979 root INFO copying pythondata_software_picolibc/data/newlib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,979 root INFO copying pythondata_software_picolibc/data/newlib/ChangeLog -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,980 root INFO copying pythondata_software_picolibc/data/newlib/ChangeLog-2015 -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,983 root INFO copying pythondata_software_picolibc/data/newlib/HOWTO -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,984 root INFO copying pythondata_software_picolibc/data/newlib/MAINTAINERS -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,985 root INFO copying pythondata_software_picolibc/data/newlib/NEWS -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,985 root INFO copying pythondata_software_picolibc/data/newlib/README -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,986 root INFO copying pythondata_software_picolibc/data/newlib/empty.c -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,987 root INFO copying pythondata_software_picolibc/data/newlib/man.xsl -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,988 root INFO copying pythondata_software_picolibc/data/newlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,989 root INFO copying pythondata_software_picolibc/data/newlib/newlib.hin -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,989 root INFO copying pythondata_software_picolibc/data/newlib/refcontainers.xslt -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:51,990 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:51,990 root INFO copying pythondata_software_picolibc/data/picocrt/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:51,991 root INFO copying pythondata_software_picolibc/data/picocrt/crt0.h -> build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:51,992 root INFO copying pythondata_software_picolibc/data/picocrt/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:51,993 root INFO creating build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,993 root INFO copying pythondata_software_picolibc/data/test/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,994 root INFO copying pythondata_software_picolibc/data/test/abort.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,995 root INFO copying pythondata_software_picolibc/data/test/atexit.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,995 root INFO copying pythondata_software_picolibc/data/test/complex-funcs.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,996 root INFO copying pythondata_software_picolibc/data/test/constructor-skip.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,997 root INFO copying pythondata_software_picolibc/data/test/constructor.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,998 root INFO copying pythondata_software_picolibc/data/test/fenv.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,998 root INFO copying pythondata_software_picolibc/data/test/ffs.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:51,999 root INFO copying pythondata_software_picolibc/data/test/hosted-exit.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,000 root INFO copying pythondata_software_picolibc/data/test/lock-valid.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,001 root INFO copying pythondata_software_picolibc/data/test/malloc.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,001 root INFO copying pythondata_software_picolibc/data/test/malloc_stress.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,002 root INFO copying pythondata_software_picolibc/data/test/math-funcs.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,003 root INFO copying pythondata_software_picolibc/data/test/math_errhandling.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,004 root INFO copying pythondata_software_picolibc/data/test/math_errhandling_tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,005 root INFO copying pythondata_software_picolibc/data/test/meson.build -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,005 root INFO copying pythondata_software_picolibc/data/test/on_exit.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,006 root INFO copying pythondata_software_picolibc/data/test/posix-io.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,007 root INFO copying pythondata_software_picolibc/data/test/printf-tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,008 root INFO copying pythondata_software_picolibc/data/test/printf_scanf.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,009 root INFO copying pythondata_software_picolibc/data/test/rand.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,009 root INFO copying pythondata_software_picolibc/data/test/regex.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,010 root INFO copying pythondata_software_picolibc/data/test/rounding-mode-sub.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,011 root INFO copying pythondata_software_picolibc/data/test/rounding-mode.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,012 root INFO copying pythondata_software_picolibc/data/test/setjmp.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,012 root INFO copying pythondata_software_picolibc/data/test/stack-smash.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,013 root INFO copying pythondata_software_picolibc/data/test/test-efcvt.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,014 root INFO copying pythondata_software_picolibc/data/test/test-except.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,015 root INFO copying pythondata_software_picolibc/data/test/test-fopen.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,016 root INFO copying pythondata_software_picolibc/data/test/test-memset.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,016 root INFO copying pythondata_software_picolibc/data/test/test-mktemp.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,017 root INFO copying pythondata_software_picolibc/data/test/test-put.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,018 root INFO copying pythondata_software_picolibc/data/test/test-strchr.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,019 root INFO copying pythondata_software_picolibc/data/test/test-strtod.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,019 root INFO copying pythondata_software_picolibc/data/test/testcases.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,020 root INFO copying pythondata_software_picolibc/data/test/time-sprintf.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,021 root INFO copying pythondata_software_picolibc/data/test/time-tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,022 root INFO copying pythondata_software_picolibc/data/test/timegm.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,023 root INFO copying pythondata_software_picolibc/data/test/timegm.h -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,024 root INFO copying pythondata_software_picolibc/data/test/tls.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,025 root INFO copying pythondata_software_picolibc/data/test/try-ilp32-sub.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,025 root INFO copying pythondata_software_picolibc/data/test/try-ilp32.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,026 root INFO copying pythondata_software_picolibc/data/test/try-ilp32.h -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,027 root INFO copying pythondata_software_picolibc/data/test/ungetc.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 03:06:52,028 root INFO creating build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,028 root INFO copying pythondata_software_picolibc/data/doc/build.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,029 root INFO copying pythondata_software_picolibc/data/doc/embedsource.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,030 root INFO copying pythondata_software_picolibc/data/doc/init.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,030 root INFO copying pythondata_software_picolibc/data/doc/linking.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,031 root INFO copying pythondata_software_picolibc/data/doc/locking.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,032 root INFO copying pythondata_software_picolibc/data/doc/os.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,033 root INFO copying pythondata_software_picolibc/data/doc/picolibc.svg -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,034 root INFO copying pythondata_software_picolibc/data/doc/printf.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,034 root INFO copying pythondata_software_picolibc/data/doc/releasing.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,035 root INFO copying pythondata_software_picolibc/data/doc/testing.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,036 root INFO copying pythondata_software_picolibc/data/doc/tls.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,037 root INFO copying pythondata_software_picolibc/data/doc/using.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 03:06:52,037 root INFO creating build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,038 root INFO copying pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,039 root INFO copying pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,039 root INFO copying pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,040 root INFO copying pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,041 root INFO copying pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,042 root INFO copying pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,042 root INFO copying pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,043 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,044 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,045 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,045 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,046 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,047 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,048 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,048 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,049 root INFO copying pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,050 root INFO copying pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,051 root INFO copying pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,051 root INFO copying pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,052 root INFO copying pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,053 root INFO copying pythondata_software_picolibc/data/scripts/cross-msp430.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,054 root INFO copying pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,054 root INFO copying pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,055 root INFO copying pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,056 root INFO copying pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,057 root INFO copying pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,058 root INFO copying pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,058 root INFO copying pythondata_software_picolibc/data/scripts/cross-rv32imac.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,059 root INFO copying pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,060 root INFO copying pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,061 root INFO copying pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,061 root INFO copying pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,062 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,063 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,064 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,064 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,065 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,066 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,067 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,067 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,068 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,069 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,069 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,070 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,071 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,072 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,072 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,073 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,074 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,075 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,075 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,076 root INFO copying pythondata_software_picolibc/data/scripts/do-aarch64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,077 root INFO copying pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,078 root INFO copying pythondata_software_picolibc/data/scripts/do-arc-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,078 root INFO copying pythondata_software_picolibc/data/scripts/do-arc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,079 root INFO copying pythondata_software_picolibc/data/scripts/do-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,080 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,081 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-msp430-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,081 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,082 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,083 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,084 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,084 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,085 root INFO copying pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,086 root INFO copying pythondata_software_picolibc/data/scripts/do-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,087 root INFO copying pythondata_software_picolibc/data/scripts/do-cortex-a9-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,088 root INFO copying pythondata_software_picolibc/data/scripts/do-esp32-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,088 root INFO copying pythondata_software_picolibc/data/scripts/do-freedom-tools-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,089 root INFO copying pythondata_software_picolibc/data/scripts/do-freedom-tools-package -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,090 root INFO copying pythondata_software_picolibc/data/scripts/do-i386-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,091 root INFO copying pythondata_software_picolibc/data/scripts/do-lx106-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,091 root INFO copying pythondata_software_picolibc/data/scripts/do-m68k-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,092 root INFO copying pythondata_software_picolibc/data/scripts/do-mips-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,093 root INFO copying pythondata_software_picolibc/data/scripts/do-mipsel-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,094 root INFO copying pythondata_software_picolibc/data/scripts/do-msp430-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,094 root INFO copying pythondata_software_picolibc/data/scripts/do-native-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,095 root INFO copying pythondata_software_picolibc/data/scripts/do-nios2-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,096 root INFO copying pythondata_software_picolibc/data/scripts/do-powerpc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,097 root INFO copying pythondata_software_picolibc/data/scripts/do-powerpc64le-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,097 root INFO copying pythondata_software_picolibc/data/scripts/do-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,098 root INFO copying pythondata_software_picolibc/data/scripts/do-rv32imac-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,099 root INFO copying pythondata_software_picolibc/data/scripts/do-sparc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,100 root INFO copying pythondata_software_picolibc/data/scripts/do-x86-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,101 root INFO copying pythondata_software_picolibc/data/scripts/do-x86_64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,101 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,102 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,103 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,104 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,104 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,105 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,106 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,107 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,107 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,108 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,109 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,110 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,110 root INFO copying pythondata_software_picolibc/data/scripts/duplicate-names -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,111 root INFO copying pythondata_software_picolibc/data/scripts/monitor-e9 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,112 root INFO copying pythondata_software_picolibc/data/scripts/run-aarch64 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,113 root INFO copying pythondata_software_picolibc/data/scripts/run-arm -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,113 root INFO copying pythondata_software_picolibc/data/scripts/run-cortex-a9 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,114 root INFO copying pythondata_software_picolibc/data/scripts/run-i386 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,115 root INFO copying pythondata_software_picolibc/data/scripts/run-riscv -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,116 root INFO copying pythondata_software_picolibc/data/scripts/run-rv32imac -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,117 root INFO copying pythondata_software_picolibc/data/scripts/run-rv32imafdc -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,117 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv6m -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,118 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv7e -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,119 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv7m -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,120 root INFO copying pythondata_software_picolibc/data/scripts/run-x86 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,120 root INFO copying pythondata_software_picolibc/data/scripts/run-x86_64 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,121 root INFO copying pythondata_software_picolibc/data/scripts/test-aarch64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,122 root INFO copying pythondata_software_picolibc/data/scripts/test-arm.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,123 root INFO copying pythondata_software_picolibc/data/scripts/test-cortex-a9.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,123 root INFO copying pythondata_software_picolibc/data/scripts/test-i386.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,124 root INFO copying pythondata_software_picolibc/data/scripts/test-m68k.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,125 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,126 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv32.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,126 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,127 root INFO copying pythondata_software_picolibc/data/scripts/test-x86.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,128 root INFO copying pythondata_software_picolibc/data/scripts/test-x86_64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:52,129 root INFO creating build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,129 root INFO copying pythondata_software_picolibc/data/hello-world/.gitignore -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,130 root INFO copying pythondata_software_picolibc/data/hello-world/Makefile -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,131 root INFO copying pythondata_software_picolibc/data/hello-world/README.md -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,131 root INFO copying pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,132 root INFO copying pythondata_software_picolibc/data/hello-world/aarch64.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,133 root INFO copying pythondata_software_picolibc/data/hello-world/arm-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,134 root INFO copying pythondata_software_picolibc/data/hello-world/arm.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,134 root INFO copying pythondata_software_picolibc/data/hello-world/hello-world.c -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,135 root INFO copying pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,136 root INFO copying pythondata_software_picolibc/data/hello-world/printf.c -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,137 root INFO copying pythondata_software_picolibc/data/hello-world/riscv-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,137 root INFO copying pythondata_software_picolibc/data/hello-world/riscv.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,138 root INFO copying pythondata_software_picolibc/data/hello-world/run-aarch64 -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,139 root INFO copying pythondata_software_picolibc/data/hello-world/run-aarch64++ -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,140 root INFO copying pythondata_software_picolibc/data/hello-world/run-arm -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,140 root INFO copying pythondata_software_picolibc/data/hello-world/run-riscv -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,141 root INFO copying pythondata_software_picolibc/data/hello-world/run-riscv++ -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,142 root INFO copying pythondata_software_picolibc/data/hello-world/test-file -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:52,143 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:52,143 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_exit.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:52,144 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_io.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:52,145 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_kill.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:52,145 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_stub.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:52,146 root INFO copying pythondata_software_picolibc/data/semihost/fake/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:52,147 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine 2024-01-19 03:06:52,147 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 03:06:52,148 root INFO copying pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 03:06:52,148 root INFO copying pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 03:06:52,149 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,149 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/bios.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,150 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/bios.ld -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,151 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,152 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,152 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,153 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,154 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:52,155 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:52,155 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:52,156 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:52,157 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:52,157 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 03:06:52,158 root INFO copying pythondata_software_picolibc/data/semihost/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 03:06:52,159 root INFO copying pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s -> build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 03:06:52,159 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:52,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:52,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/libc.in.xml -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:52,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:52,162 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:52,163 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:52,163 root INFO copying pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:52,164 root INFO copying pythondata_software_picolibc/data/newlib/libm/libm.in.xml -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:52,165 root INFO copying pythondata_software_picolibc/data/newlib/libm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:52,165 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 03:06:52,166 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 03:06:52,167 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 03:06:52,167 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 03:06:52,168 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 03:06:52,169 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 03:06:52,169 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:52,170 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:52,171 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:52,171 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:52,172 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:52,173 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:52,174 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:52,174 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:52,175 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:52,176 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:52,176 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:52,177 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:52,178 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:52,178 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:52,179 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:52,180 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:52,180 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:52,181 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:52,182 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:52,183 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:52,183 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:52,184 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:52,184 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:52,185 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:52,186 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:52,187 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:52,187 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:52,188 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:52,189 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:52,189 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:52,190 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:52,191 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:52,192 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:52,192 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:52,193 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:52,194 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:52,194 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:52,195 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:52,196 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:52,196 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:52,197 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:52,198 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:52,199 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:52,199 root INFO copying pythondata_software_picolibc/data/newlib/doc/.gitignore -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:52,200 root INFO copying pythondata_software_picolibc/data/newlib/doc/doc.str -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:52,201 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,203 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,209 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,211 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,214 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,216 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,219 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/islower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,222 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,223 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,225 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,226 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,231 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,235 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,237 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,240 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,241 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,243 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,247 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,251 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,254 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,256 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,257 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,260 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,263 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,265 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:52,265 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 03:06:52,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 03:06:52,267 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,267 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,269 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,269 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,270 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,273 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,274 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,277 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,280 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,285 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/div.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,289 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,292 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,295 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,296 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,298 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,299 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,302 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,303 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,304 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,304 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,305 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,306 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,307 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,307 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,309 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,312 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,313 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,314 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,314 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,315 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,316 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,317 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,317 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,319 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,320 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,320 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,322 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,325 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,326 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,327 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,327 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,329 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,332 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,333 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,339 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,342 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,348 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/random.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,363 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/std.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,368 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/system.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,381 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:52,389 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,390 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:52,406 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:52,415 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_ansi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,417 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_syslist.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/alloca.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/argz.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/assert.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/byteswap.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/complex.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/cpio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/devctl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/elf.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/envlock.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,429 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/envz.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ftw.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/getopt.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/glob.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/grp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/iconv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/inttypes.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/langinfo.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/libgen.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,440 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/limits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/locale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/malloc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/memory.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ndbm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/newlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/paths.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/picotls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/pwd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/regdef.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/regex.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/search.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/setjmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/spawn.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/stdint.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/strings.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/tar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/termios.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/threads.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/unctrl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,461 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/utmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wchar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,464 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wordexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:52,466 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,467 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/ffs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/fini.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,469 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/init.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/lock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/misc.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:52,473 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:52,473 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:52,474 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:52,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:52,476 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:52,477 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,478 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,478 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/locale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,483 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/locale.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,484 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,486 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,487 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:52,490 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:52,490 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:52,491 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:52,492 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:52,493 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:52,494 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:52,494 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:52,495 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,495 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,496 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,497 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,498 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,498 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,499 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,500 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,501 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,502 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,502 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,503 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,504 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,505 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,505 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,506 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,507 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,508 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,508 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,509 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,510 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,511 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,511 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,512 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,513 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,514 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,514 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,515 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,516 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,517 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,517 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,518 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,519 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,520 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,520 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,521 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,522 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,523 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,523 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,524 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,525 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,526 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,527 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,527 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,528 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,529 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,530 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,530 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,531 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,532 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,533 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,533 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,534 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,535 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,536 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,536 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,537 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,538 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,539 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,539 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,540 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,541 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,542 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,543 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,543 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,544 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,545 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,546 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,546 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,547 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,548 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,549 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,549 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,550 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,551 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,552 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,552 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,553 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,554 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,555 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,555 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,556 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,557 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,558 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,558 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,559 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,560 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,561 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,561 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,562 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,563 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,564 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,565 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,565 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,566 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,567 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,568 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,568 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,569 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,570 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,571 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,571 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,572 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,573 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,574 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,574 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,575 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,576 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,577 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,578 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,578 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,579 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,580 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,581 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,581 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,582 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,583 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,584 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,584 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,585 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,586 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,587 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,587 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,588 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,589 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,590 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,590 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,591 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:52,592 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,592 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,593 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,594 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,595 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,595 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,596 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,597 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,598 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,598 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,599 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,600 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,601 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,601 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,602 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,603 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,604 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,604 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,605 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,606 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,607 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:52,607 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:52,608 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:52,608 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/errno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:52,609 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:52,610 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:52,610 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:52,611 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/reent.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:52,612 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/reent.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:52,613 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,613 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,614 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/asctime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,614 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,615 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/clock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,616 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/ctime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,617 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,618 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/difftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,618 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,619 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gmtime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,620 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,621 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,621 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,622 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,623 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,624 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,624 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/mktime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,625 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,626 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/strftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,627 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/strptime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,628 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/time.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,628 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/time.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,629 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,630 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,631 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzvars.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,631 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:52,632 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,633 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,633 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,634 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,635 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,636 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bcopy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,636 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,637 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/combining.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,638 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,639 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ffsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,639 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ffsll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,640 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/fls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,641 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/flsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,642 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/flsll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,642 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,643 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/index.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,644 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,645 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memccpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,645 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,646 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,647 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,648 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memmem.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,648 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,649 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,650 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,651 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,651 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,652 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkunidata -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,653 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkwide -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,654 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkwidthA -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,655 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,655 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/rindex.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,656 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,657 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,658 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,658 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,659 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,660 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,661 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,661 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,662 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,663 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,664 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,664 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,665 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,666 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,667 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strdup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,667 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,668 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strerror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,669 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,670 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strings.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,671 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,671 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,672 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,673 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlwr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,674 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,674 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,675 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,676 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,677 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,677 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strndup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,678 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,679 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strnlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,680 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strnstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,680 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,681 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,682 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strsep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,683 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strsignal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,683 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,684 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,685 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strtok.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,686 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,687 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strupr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,687 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,688 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,689 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,690 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/swab.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,690 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,691 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,692 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,693 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/uniset -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,693 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,694 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,695 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,696 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,696 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,697 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcschr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,698 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,699 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,699 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,700 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,701 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,702 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,702 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,703 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,704 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,705 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,706 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,706 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,707 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,708 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,709 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,709 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,710 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,711 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,712 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,713 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcstok.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,713 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,714 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,715 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,716 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,716 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,717 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wide.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,718 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,719 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,719 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,720 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,721 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,722 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,722 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:52,723 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,724 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,724 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,725 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/bsearch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,726 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/db_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,727 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/extern.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,727 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,728 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,729 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,730 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,731 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_func.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,731 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,732 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_page.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,733 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,734 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,735 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,735 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,736 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/ndbm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,737 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/page.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,738 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/qsort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,738 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,739 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tdelete.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,740 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,741 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tfind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,741 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tsearch.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,742 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tsearch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,743 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/twalk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:52,744 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,744 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,745 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/README -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,746 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,746 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,747 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,748 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,749 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,750 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,750 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,751 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,752 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,753 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,754 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,754 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:52,755 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,755 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,756 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/basename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,757 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/cclass.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,758 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/cname.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,758 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collate.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,759 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collate.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,760 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,761 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/dirname.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,762 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,762 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,763 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/ftw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,764 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,765 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/namespace.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,765 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/nftw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,766 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,767 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regerror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,768 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regex2.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,769 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regexec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,769 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,770 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/rune.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,771 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/runetype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,772 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,772 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,773 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/utils.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:52,774 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,774 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,775 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,776 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,777 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,777 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,778 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,779 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,780 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,780 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,781 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,782 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,783 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,783 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,784 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,785 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,786 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,786 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,787 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,788 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,789 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,789 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,790 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,791 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,792 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,792 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,793 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,798 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,798 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,799 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,800 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,801 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,801 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/flags.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,802 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,803 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,804 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,804 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,805 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,806 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,807 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,807 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,808 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,809 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,810 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,810 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,811 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,812 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,813 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,814 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,814 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,815 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,816 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,817 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,817 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,818 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,819 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,820 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,820 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,821 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,822 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,823 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,824 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,824 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,825 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,826 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,827 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,827 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,828 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,829 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,830 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,831 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,831 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getline.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,832 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,833 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,834 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,834 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,835 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,836 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,837 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,837 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,838 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,839 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,840 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,841 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,841 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,842 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,843 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,844 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,844 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,845 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,846 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,847 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,848 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,848 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,849 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,850 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,851 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,851 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,852 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,853 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,854 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,855 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,855 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,856 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,857 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,858 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,858 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,859 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/refill.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,860 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,861 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,862 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,862 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rget.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,863 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,864 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,865 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,865 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,866 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,867 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,868 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,868 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,869 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,870 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,871 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,872 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,872 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,873 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,874 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,875 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,876 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,876 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,877 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,878 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,879 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,879 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,880 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,881 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,882 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,882 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,883 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,884 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,885 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,885 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,886 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,887 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,888 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,889 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,889 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,890 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,891 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,892 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,892 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,893 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,894 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,895 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,895 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,896 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,897 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,898 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,899 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,900 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,900 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,901 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,902 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,903 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,903 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,904 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,905 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,906 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,907 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,907 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,908 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,909 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,910 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,910 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,911 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,912 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:52,913 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,913 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,914 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,915 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/psignal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,915 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/raise.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,916 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,917 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/signal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,918 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/signal.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:52,918 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,919 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,920 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,920 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,921 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,922 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,923 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,923 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:52,924 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 03:06:52,925 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 03:06:52,925 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 03:06:52,926 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 03:06:52,926 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 03:06:52,927 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 03:06:52,928 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,928 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,929 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,930 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,930 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,931 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,932 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,933 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,933 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:52,934 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:52,934 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:52,935 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:52,936 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:52,937 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:52,937 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:52,938 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:52,939 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 03:06:52,939 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 03:06:52,940 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 03:06:52,941 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 03:06:52,941 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,942 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,942 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,943 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,944 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,945 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,946 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,946 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,947 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,948 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:52,949 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 03:06:52,949 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 03:06:52,950 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 03:06:52,950 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 03:06:52,951 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:52,951 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:52,952 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:52,953 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:52,954 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:52,954 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:52,955 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 03:06:52,956 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 03:06:52,956 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 03:06:52,957 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 03:06:52,958 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,958 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,959 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,959 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,960 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,961 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,962 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,963 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:52,963 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 03:06:52,964 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 03:06:52,964 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 03:06:52,965 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 03:06:52,966 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 03:06:52,966 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 03:06:52,967 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 03:06:52,968 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:52,968 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:52,969 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:52,970 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:52,970 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 03:06:52,971 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 03:06:52,971 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:52,972 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:52,973 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:52,973 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:52,974 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:52,975 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:52,976 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:52,976 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,977 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,978 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,978 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,979 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,980 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,981 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,981 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,982 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,983 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,984 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,984 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,985 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,986 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,987 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,987 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,988 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,989 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,990 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,991 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,991 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,992 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,993 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,994 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,994 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,995 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,996 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,997 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,998 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,998 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:52,999 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:53,000 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:53,001 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:53,001 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:53,002 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 03:06:53,002 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 03:06:53,003 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 03:06:53,004 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,004 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,005 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,006 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,007 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,007 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,008 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,009 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,010 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:53,010 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 03:06:53,011 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 03:06:53,012 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,012 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,013 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,014 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,014 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,015 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,016 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,017 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:53,017 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 03:06:53,018 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 03:06:53,018 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 03:06:53,019 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 03:06:53,020 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 03:06:53,020 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,021 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,022 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,022 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,023 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,024 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,025 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,025 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,026 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,027 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,028 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,028 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,029 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,030 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,031 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,031 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,032 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,033 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,034 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,035 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,035 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,036 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,037 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,038 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,038 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,039 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,040 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,041 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,041 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,042 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,043 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,044 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,044 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,045 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:53,046 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 03:06:53,046 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 03:06:53,047 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,047 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,048 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,049 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,050 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,050 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,051 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,052 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,053 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,054 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,054 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,055 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,056 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,057 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:53,057 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,058 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,058 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,059 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,060 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,061 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,061 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,062 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,063 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,064 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,064 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,065 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,066 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,067 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,067 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,068 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,070 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,071 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,071 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,072 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,073 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,074 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,074 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,075 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,076 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,077 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,077 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,078 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:53,079 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,079 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,080 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,081 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,083 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,084 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,086 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,087 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,088 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,089 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,089 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:53,090 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,091 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,091 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,092 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,093 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,095 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,096 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,097 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,098 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,098 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,099 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,100 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,101 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,101 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,102 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,103 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,104 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,104 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,106 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,107 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,107 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,108 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,109 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,110 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,111 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,111 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:53,112 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 03:06:53,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 03:06:53,113 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 03:06:53,114 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 03:06:53,114 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 03:06:53,115 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:53,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:53,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:53,117 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:53,118 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:53,119 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:53,119 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 03:06:53,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 03:06:53,120 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,121 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,123 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,124 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,126 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,127 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,128 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,130 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,131 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,132 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,132 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,133 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,134 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,135 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,135 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,136 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,137 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,139 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,140 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,141 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,142 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,142 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,143 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,144 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,145 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,145 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:53,147 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:53,147 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:53,148 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:53,149 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:53,150 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:53,150 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:53,151 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:53,152 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,152 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,153 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,154 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,156 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,157 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:53,158 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,158 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,159 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,162 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,163 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,163 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:53,164 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:53,165 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:53,165 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:53,166 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:53,167 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 03:06:53,167 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 03:06:53,168 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 03:06:53,168 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 03:06:53,169 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 03:06:53,170 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,170 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,171 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,172 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,172 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,173 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,174 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,176 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,177 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:53,179 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,180 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,180 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,181 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,182 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,184 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,185 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,186 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:53,186 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 03:06:53,187 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 03:06:53,188 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:53,188 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:53,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:53,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:53,190 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,191 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,191 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,192 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,193 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,194 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,194 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,195 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,197 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,197 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,198 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,199 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,200 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:53,201 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 03:06:53,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 03:06:53,202 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,203 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,209 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:53,211 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,214 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,216 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,219 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,222 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,223 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,225 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,226 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,231 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,235 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,237 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,240 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,241 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,243 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,247 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,251 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,254 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,256 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,257 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,260 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,263 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,265 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,267 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,269 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,270 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,273 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,274 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,277 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,280 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,285 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,289 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,292 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,295 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,296 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,298 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,299 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,302 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,303 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,303 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,304 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,305 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,306 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,307 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,307 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,309 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,312 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,313 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,313 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,314 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,315 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,316 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,317 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:53,317 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 03:06:53,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 03:06:53,318 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 03:06:53,319 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 03:06:53,320 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 03:06:53,320 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 03:06:53,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 03:06:53,321 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,322 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,325 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,326 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,326 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,327 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:53,329 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,329 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,332 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,333 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,339 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:53,341 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 03:06:53,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 03:06:53,342 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:53,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:53,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:53,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:53,345 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:53,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:53,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:53,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:53,348 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:53,348 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:53,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:53,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:53,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:53,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:53,352 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:53,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:53,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:53,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:53,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:53,355 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 03:06:53,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 03:06:53,356 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 03:06:53,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 03:06:53,357 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:53,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:53,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:53,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:53,360 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:53,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:53,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:53,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:53,363 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:53,363 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:53,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:53,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:53,365 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:53,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:53,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:53,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:53,368 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:53,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:53,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:53,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:53,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:53,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:53,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:53,373 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:53,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:53,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:53,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:53,376 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:53,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:53,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:53,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:53,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:53,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:53,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:53,381 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:53,381 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:53,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:53,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:53,383 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:53,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:53,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:53,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:53,386 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:53,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:53,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:53,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:53,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:53,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:53,390 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 03:06:53,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 03:06:53,391 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:53,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:53,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:53,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:53,394 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:53,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:53,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:53,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:53,397 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 03:06:53,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 03:06:53,398 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:53,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:53,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:53,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:53,400 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:53,408 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:53,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:53,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:53,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:53,411 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,417 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:53,428 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,429 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/config.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,440 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/features.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/select.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/times.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:53,460 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,461 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,464 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,467 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:53,468 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:53,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:53,469 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:53,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:53,470 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:53,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:53,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:53,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:53,473 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:53,474 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits 2024-01-19 03:06:53,474 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 03:06:53,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 03:06:53,475 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,476 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,484 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,490 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,491 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,492 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,492 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,493 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,494 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,495 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,496 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,496 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,497 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,498 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,499 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,500 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,500 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,501 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,502 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,503 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,504 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,504 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,507 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,509 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,510 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,511 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,511 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,512 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,514 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,515 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,516 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,517 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,518 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,519 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,520 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,520 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,521 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,522 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,523 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:53,523 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,524 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,525 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,525 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,526 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,527 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,528 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,529 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,529 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,530 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,531 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,532 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,532 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,533 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,534 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,535 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:53,535 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,536 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,537 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,537 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,538 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,539 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,540 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,540 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,541 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,542 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,543 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,544 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,544 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,545 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,546 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,547 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,547 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:53,548 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,549 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,549 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,551 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,552 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,554 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,555 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,556 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,556 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,557 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,558 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,559 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,559 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,560 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,561 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,562 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,562 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,563 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,564 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,565 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,566 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,566 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,567 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,568 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,569 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,569 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,570 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,571 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,572 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,573 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,574 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,575 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,576 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,576 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,578 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,579 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,579 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,580 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,581 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,582 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,583 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,583 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,584 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,585 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:53,586 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys 2024-01-19 03:06:53,586 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems 2024-01-19 03:06:53,586 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 03:06:53,587 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 03:06:53,587 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 03:06:53,588 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 03:06:53,589 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 03:06:53,589 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 03:06:53,590 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 03:06:53,591 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 03:06:53,591 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 03:06:53,592 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 03:06:53,592 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:53,593 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:53,594 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:53,594 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:53,595 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:53,596 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:53,596 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:53,597 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:53,598 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:53,599 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:53,599 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:53,600 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:53,600 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:53,601 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:53,602 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:53,603 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:53,603 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:53,604 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:53,605 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:53,605 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:53,606 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:53,607 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:53,607 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:53,608 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:53,609 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:53,610 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:53,610 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 03:06:53,611 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 03:06:53,612 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 03:06:53,612 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 03:06:53,613 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 03:06:53,613 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,614 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/acoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/acosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,616 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/asinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,617 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/asinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atan2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,619 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,620 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ceill.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/copysignl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,622 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,623 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/coshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,624 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,624 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/dreml.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,625 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/erfcl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/erfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,627 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,627 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,628 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,629 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/expl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,631 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/expm1l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,632 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fabsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fdiml.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,634 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/finitel.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,635 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/floorl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,636 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,637 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,637 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fminl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,638 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmodl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,639 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/frexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isgreater.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,641 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isinfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,642 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isnanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,643 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,643 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lgammal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,644 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/llrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,645 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/llroundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,646 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,646 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,647 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,648 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log1pl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,649 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,649 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,650 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,651 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,652 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/logbl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/logl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,654 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lroundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,655 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_config.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,657 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,658 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,659 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,659 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,660 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,661 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,663 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,664 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,666 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,667 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,668 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,668 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,669 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,670 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,671 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/modfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,673 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,674 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,676 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,677 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,679 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/powl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,680 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/remainderl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/remquol.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/rintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,682 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/roundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,683 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,684 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,685 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,685 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,687 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,689 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,690 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,691 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,692 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,692 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,693 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,694 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,695 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,695 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,697 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,698 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,698 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,699 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,700 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,701 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,701 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,702 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,703 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_modf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,705 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,706 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,707 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,708 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,708 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,709 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,710 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,711 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,711 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,712 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,713 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,714 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,714 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,715 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,716 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,718 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,719 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,720 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,720 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,721 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,722 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,723 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,723 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,724 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,725 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,726 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,727 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,727 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,728 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,729 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,730 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,730 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,731 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,732 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,733 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,733 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,734 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,735 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,736 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,736 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,737 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,738 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,739 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,739 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,740 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,741 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,742 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,743 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,743 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,744 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,745 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,746 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,746 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,747 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,748 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/signgam.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,749 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,749 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,750 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,751 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,752 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,752 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,753 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,754 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,755 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,755 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,756 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,757 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,758 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,758 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tgammal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,759 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/truncl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:53,760 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,760 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,761 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,762 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,763 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,763 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,764 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,765 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,766 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,767 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,767 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/carg.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,768 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cargf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,769 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cargl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,770 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,770 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,771 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,772 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,773 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,773 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,774 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,775 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,776 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,776 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,777 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,778 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,779 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,779 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,780 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,781 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,782 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,782 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,783 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,784 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,785 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,786 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,786 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,787 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,788 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,789 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,789 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,790 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,791 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,792 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,792 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,793 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,794 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,795 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,795 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clogf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,796 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clogl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,797 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/complex.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,798 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conj.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,798 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conjf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,799 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conjl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,800 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,801 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,801 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,802 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cproj.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,803 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,804 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,805 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/creal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,805 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/crealf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,806 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/creall.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,807 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,808 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,808 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,809 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,810 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,811 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,811 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,812 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,813 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,814 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,814 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,815 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,816 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,817 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,817 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,818 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:53,819 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,819 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,820 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,821 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,821 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,822 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,823 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,824 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,825 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,825 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,826 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,827 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,828 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,828 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,829 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,830 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,831 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,831 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,832 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,833 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,834 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,834 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,835 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:53,836 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,836 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,837 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,838 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,839 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,839 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,840 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,841 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,842 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,842 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,843 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/math.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,844 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,845 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,845 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,846 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,847 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,848 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,849 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,849 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,850 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,851 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,852 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,852 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_drem.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,853 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,854 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,855 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,855 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,856 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,857 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,858 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,859 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,859 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,860 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_j0.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,861 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_j1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,862 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_jn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,862 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,863 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,864 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,865 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,865 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,866 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,867 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,868 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_signif.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,868 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,869 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,870 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,871 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,871 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,872 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,873 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,874 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,875 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,875 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,876 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,877 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,877 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,878 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,879 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,880 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,880 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,881 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,882 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,883 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,884 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,884 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,885 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,886 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,887 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,887 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,888 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,889 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,890 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,890 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,891 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,892 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,893 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,893 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,894 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,895 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,896 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,897 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,897 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,898 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,899 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,900 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,900 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,901 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,902 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,903 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,903 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,904 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,905 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:53,906 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,906 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,907 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,908 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,909 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,910 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,910 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,911 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,912 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,913 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,914 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,915 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,916 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,917 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,918 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,919 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,919 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,920 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,921 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/convert.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,922 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,923 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,924 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,925 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,925 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,926 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,927 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/dcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,928 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/dvec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,929 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,930 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,930 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,931 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,932 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,933 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,934 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,935 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,935 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,936 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,937 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,938 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,939 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,940 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,940 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,941 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,942 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,943 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,944 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,945 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,946 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,946 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,947 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,948 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,949 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,950 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,951 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,951 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,952 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,953 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,954 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,955 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,956 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/math.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,956 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/math2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,957 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,958 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,959 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,959 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,960 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,961 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,962 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,962 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,963 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,964 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,965 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,966 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,967 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,968 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,969 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,970 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/string.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,971 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,972 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,973 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,973 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,974 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,975 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,976 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,977 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test_is.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,978 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,978 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,979 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,980 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,981 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,982 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,983 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,983 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:53,984 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 03:06:53,985 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 03:06:53,985 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 03:06:53,986 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,987 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,987 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,988 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,989 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,990 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,990 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,991 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,992 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,992 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,993 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:53,994 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:53,994 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:53,995 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:53,996 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:53,997 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:53,997 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:53,998 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:53,999 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,000 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,001 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,001 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,002 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,003 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,004 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,004 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,005 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,006 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,007 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,007 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,008 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,009 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,010 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,010 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,011 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,012 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,013 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,013 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,014 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,015 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,016 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,016 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,017 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,018 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,019 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:54,019 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 03:06:54,020 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 03:06:54,021 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 03:06:54,021 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,022 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,023 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,023 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,024 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,025 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,026 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,026 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,027 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,028 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,029 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,029 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,030 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,031 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,032 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,032 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,033 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,034 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,035 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,035 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,036 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,037 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,038 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,039 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,039 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,040 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,041 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,042 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,042 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,043 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,044 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:54,045 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:54,045 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:54,046 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:54,047 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:54,047 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,048 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,048 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,049 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,050 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,051 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,051 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,052 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,053 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,054 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,054 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,055 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,056 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,057 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,057 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,058 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,059 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,060 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,061 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,061 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,062 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,063 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,064 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,064 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,065 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,066 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,067 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,067 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,068 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,069 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,070 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,070 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,071 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,072 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,073 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,073 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:54,074 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:54,075 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:54,075 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:54,076 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:54,077 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,077 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,078 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,079 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,079 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,080 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,081 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,082 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,082 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,083 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,084 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,085 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,085 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,086 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,087 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,088 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,088 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,089 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,090 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,091 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,091 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,092 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,093 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,094 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,095 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,095 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,096 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,097 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,098 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,098 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,099 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,100 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,101 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,101 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,102 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,103 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,104 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,104 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,105 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,106 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,107 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,107 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,108 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,109 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:54,110 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,110 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,111 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,112 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,112 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,113 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,114 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,115 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,115 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,116 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,117 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,118 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,118 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,119 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,120 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,121 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,122 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:54,122 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,123 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,123 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,124 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,125 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,126 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,126 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,127 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,128 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,129 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,129 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,130 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,131 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,132 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,132 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,133 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,134 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,135 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,135 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,136 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,137 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,138 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,138 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,139 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,140 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,141 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,141 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,142 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,143 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,144 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,144 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,145 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,146 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,147 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,148 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,148 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,149 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,150 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,151 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,151 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,152 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,153 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,154 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,154 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,155 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,156 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,157 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,157 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,158 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,159 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,160 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,160 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,161 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,162 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,163 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,163 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,164 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,165 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,166 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,166 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,167 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,168 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,169 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,169 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,170 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,171 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,172 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,172 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,173 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,174 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,175 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,175 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,176 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,177 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,178 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,178 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,179 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,180 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,181 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,182 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,182 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,183 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,184 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,185 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,185 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,186 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,187 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,188 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,188 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,189 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,190 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,191 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,191 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,192 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,193 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,194 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,194 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,195 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,196 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,197 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,197 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,198 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,199 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,200 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,200 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,201 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,202 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,203 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,204 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,204 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,205 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,206 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,207 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,207 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:54,208 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,209 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,209 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,210 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,211 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,212 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,212 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,213 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,214 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,215 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,216 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,216 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,217 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,218 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,219 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,219 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,220 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,221 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,222 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,222 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,223 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,224 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,225 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,225 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,226 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,227 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,228 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,229 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,229 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,230 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,231 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,232 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,232 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,233 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,234 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,235 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,235 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,236 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,237 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,238 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,239 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,239 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,240 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,241 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,242 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,242 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,243 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,244 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,245 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,245 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,246 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,247 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,248 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,249 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,249 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,250 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,251 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,252 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,252 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,253 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,254 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,255 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,255 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,256 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,257 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,258 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,259 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,259 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,260 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,261 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,262 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,262 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,263 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,264 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,265 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,265 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,266 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,267 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,268 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,269 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,269 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,270 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,271 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,272 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,272 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,273 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,274 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,275 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,275 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,276 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,277 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,278 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,278 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,279 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,280 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,281 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,282 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,282 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,283 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,284 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,285 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,285 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,286 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,287 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,288 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,288 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,289 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,290 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,291 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,292 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,292 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,293 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,294 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,295 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,295 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,296 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,297 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,298 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,299 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,299 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,300 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,301 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,302 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,302 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,303 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,304 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,305 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,305 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,306 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,307 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,308 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,309 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,309 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,310 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,311 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,312 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,312 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,313 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,314 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,315 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,315 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,316 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,317 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,318 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,319 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,319 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,320 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,321 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,322 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,322 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,323 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,324 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,325 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,325 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,326 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,327 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,328 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,329 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,329 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,330 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,331 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,332 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,332 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,333 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,334 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,335 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,335 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,336 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,337 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,338 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,339 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,339 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,340 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,341 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,342 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,342 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,343 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,344 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,345 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,345 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,346 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,347 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,348 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,349 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,349 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,350 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,351 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,352 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,352 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,353 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,354 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,355 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,356 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,356 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,357 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,358 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,359 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,359 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,360 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,361 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,362 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,363 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,363 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,364 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,365 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:54,366 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 03:06:54,366 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/config/default.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 03:06:54,367 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 03:06:54,367 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/include/check.h -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 03:06:54,368 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:54,368 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:54,369 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:54,370 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:54,371 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:54,371 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 03:06:54,372 root INFO copying pythondata_software_picolibc/data/picocrt/shared/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 03:06:54,372 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine 2024-01-19 03:06:54,373 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 03:06:54,373 root INFO copying pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 03:06:54,374 root INFO copying pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 03:06:54,375 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:54,375 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:54,376 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:54,377 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:54,377 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:54,378 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:54,379 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:54,379 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:54,380 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:54,381 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 03:06:54,381 root INFO copying pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 03:06:54,382 root INFO copying pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 03:06:54,383 root INFO creating build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,383 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/basename.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,384 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/dirname.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,385 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,386 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/meson.build -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,386 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/qsort.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,387 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,388 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,389 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/string.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,389 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/strtod.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,390 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/strtol.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,391 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/testcase.h -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:54,392 root INFO creating build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,392 root INFO copying pythondata_software_picolibc/data/test/semihost/meson.build -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,393 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-argv.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,394 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-clock.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,394 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-close.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,395 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,396 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-errno.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,397 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,397 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,398 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,399 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,400 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-flen.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,400 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,401 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,402 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,403 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-iserror.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,403 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-istty.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,404 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-open.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,405 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-read.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,406 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-readc.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,406 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-remove.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,407 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-rename.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,408 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-seek.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,409 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,409 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-system.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,410 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,411 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-time.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,412 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,413 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,413 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-write.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,414 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-write0.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,415 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-writec.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:54,530 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:06:54,531 root INFO running install 2024-01-19 03:06:54,594 root INFO running install_lib 2024-01-19 03:06:54,603 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:06:54,604 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:06:54,604 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc 2024-01-19 03:06:54,605 root INFO copying build/lib/pythondata_software_picolibc/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc 2024-01-19 03:06:54,605 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:54,606 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/dummyhost 2024-01-19 03:06:54,606 root INFO copying build/lib/pythondata_software_picolibc/data/dummyhost/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/dummyhost 2024-01-19 03:06:54,607 root INFO copying build/lib/pythondata_software_picolibc/data/dummyhost/iob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/dummyhost 2024-01-19 03:06:54,608 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:54,608 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/module.yml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:54,609 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/Kconfig -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:54,610 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/zephyr.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 03:06:54,610 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.NEWLIB -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:54,611 root INFO copying build/lib/pythondata_software_picolibc/data/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:54,612 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.GPL2 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:54,613 root INFO copying build/lib/pythondata_software_picolibc/data/CODE_OF_CONDUCT.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:54,613 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,614 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_system.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,615 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,615 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,616 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine 2024-01-19 03:06:54,617 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 03:06:54,617 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 03:06:54,618 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 03:06:54,618 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,619 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,620 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/bios.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,620 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,621 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/bios.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,622 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,622 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,623 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 03:06:54,624 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:54,624 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:54,625 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:54,625 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 03:06:54,626 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 03:06:54,627 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 03:06:54,627 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 03:06:54,628 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_tickfreq.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,629 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,629 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,630 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/lseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,631 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,631 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_exit_extended.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,632 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/getentropy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,633 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,633 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_seek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,634 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:54,634 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_kill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:54,635 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:54,636 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_io.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:54,636 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:54,637 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 03:06:54,638 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_write0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,638 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_feature.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,639 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/lseek64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,640 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/isatty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,640 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/read.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,641 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_putc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,642 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/gettimeofday.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,642 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_iserror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,643 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,644 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_close.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,644 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,645 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/iob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,646 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/open.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,646 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,647 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_read.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,648 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/mapstdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,648 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/close.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,649 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,650 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_flen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,650 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/unlink.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,651 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_heapinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,652 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,652 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_time.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,653 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_istty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,654 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_open.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,654 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fstat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,655 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_elapsed.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,655 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_getc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,656 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/semihost.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,657 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_get_cmdline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,657 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/semihost-private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,658 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/kill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 03:06:54,659 root INFO copying build/lib/pythondata_software_picolibc/data/cross.tmpl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:54,660 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,660 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,661 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,661 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,662 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,663 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-alias-attribute.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,663 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/picolibc.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,664 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-alloc-size.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,665 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-long-double.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,665 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 03:06:54,666 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:54,667 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:54,667 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,668 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,668 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,669 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,670 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,671 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,671 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,672 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,672 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,673 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,674 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,675 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,675 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,676 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,677 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,677 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,678 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,679 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,679 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,680 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,681 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,681 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,682 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,683 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,683 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,684 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,685 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,685 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,686 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,687 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,687 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,688 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,690 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,691 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,691 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,692 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,693 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,693 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,694 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,695 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,695 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,696 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,697 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,697 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,698 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,699 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,699 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,700 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,701 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,701 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,702 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,703 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,703 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/islower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,704 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,705 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,705 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,706 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,707 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,707 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,708 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,709 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,709 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,710 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,711 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,711 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,712 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,713 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,713 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,714 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,715 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,715 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,716 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,718 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,719 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,719 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,720 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,721 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,721 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,722 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,723 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,723 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 03:06:54,724 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 03:06:54,725 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 03:06:54,725 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,726 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,727 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,727 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,728 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,729 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,729 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,730 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 03:06:54,731 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 03:06:54,731 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 03:06:54,732 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 03:06:54,732 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 03:06:54,733 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 03:06:54,734 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 03:06:54,734 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,735 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,735 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,736 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,737 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,737 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,738 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 03:06:54,740 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:54,741 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:54,741 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:54,742 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:54,743 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:54,743 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:54,744 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 03:06:54,745 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 03:06:54,745 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 03:06:54,746 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 03:06:54,746 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 03:06:54,747 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,748 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,748 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,749 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,750 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,750 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,751 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,751 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,752 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,753 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 03:06:54,754 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 03:06:54,754 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 03:06:54,755 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 03:06:54,755 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 03:06:54,756 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:54,756 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:54,757 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 03:06:54,758 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 03:06:54,758 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:54,759 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:54,760 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:54,760 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:54,761 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:54,762 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 03:06:54,762 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:54,763 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 03:06:54,764 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 03:06:54,764 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 03:06:54,765 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 03:06:54,766 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 03:06:54,766 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,767 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,767 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,768 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,769 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,769 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,770 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 03:06:54,771 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 03:06:54,772 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:54,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:54,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:54,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 03:06:54,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 03:06:54,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 03:06:54,776 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 03:06:54,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 03:06:54,777 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 03:06:54,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 03:06:54,778 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:54,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:54,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:54,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 03:06:54,781 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 03:06:54,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 03:06:54,782 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:54,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:54,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:54,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:54,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:54,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:54,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 03:06:54,787 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,788 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:54,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:54,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:54,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:54,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:54,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 03:06:54,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,798 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:54,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:54,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:54,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:54,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 03:06:54,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 03:06:54,817 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 03:06:54,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 03:06:54,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 03:06:54,818 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 03:06:54,824 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 03:06:54,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 03:06:54,826 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,830 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 03:06:54,831 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 03:06:54,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 03:06:54,832 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 03:06:54,833 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 03:06:54,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 03:06:54,834 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 03:06:54,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 03:06:54,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 03:06:54,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 03:06:54,836 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 03:06:54,859 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 03:06:54,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 03:06:54,860 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 03:06:54,869 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,870 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:54,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:54,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:54,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 03:06:54,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,881 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:54,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:54,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:54,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 03:06:54,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,889 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,889 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,890 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,892 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,893 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 03:06:54,893 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,894 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,895 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,895 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,903 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 03:06:54,903 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,911 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,911 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 03:06:54,923 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 03:06:54,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 03:06:54,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 03:06:54,925 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 03:06:54,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 03:06:54,926 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:54,927 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:54,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:54,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:54,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 03:06:54,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:54,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:54,930 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:54,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:54,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:54,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 03:06:54,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:54,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:54,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 03:06:54,935 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 03:06:54,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 03:06:54,936 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,938 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:54,938 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:54,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:54,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:54,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:54,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:54,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 03:06:54,942 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,948 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:54,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:54,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:54,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 03:06:54,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,954 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 03:06:54,967 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:54,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:54,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:54,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:54,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:54,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:54,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 03:06:54,972 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 03:06:54,977 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,977 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:54,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:54,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:54,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:54,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:54,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:54,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 03:06:54,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,984 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:54,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:54,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:54,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 03:06:54,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 03:06:54,990 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:54,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:54,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:54,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 03:06:54,992 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 03:06:54,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 03:06:54,994 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 03:06:54,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 03:06:54,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 03:06:54,995 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:54,996 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:54,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:54,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:54,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 03:06:54,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:54,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,002 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:55,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:55,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:55,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:55,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:55,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 03:06:55,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 03:06:55,010 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 03:06:55,017 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 03:06:55,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 03:06:55,018 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:55,019 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 03:06:55,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 03:06:55,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:55,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:55,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 03:06:55,022 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 03:06:55,032 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 03:06:55,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 03:06:55,033 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,034 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:55,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:55,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:55,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 03:06:55,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,038 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:55,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:55,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:55,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 03:06:55,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 03:06:55,046 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,050 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 03:06:55,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 03:06:55,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,069 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:55,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:55,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:55,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 03:06:55,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,084 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 03:06:55,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 03:06:55,150 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 03:06:55,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 03:06:55,151 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 03:06:55,152 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:55,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:55,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:55,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 03:06:55,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 03:06:55,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 03:06:55,156 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 03:06:55,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 03:06:55,157 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,157 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 03:06:55,163 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,170 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,170 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,171 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 03:06:55,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:55,175 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,186 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/std.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/random.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/div.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/system.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,278 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,278 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,279 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,280 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,280 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,281 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,282 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,282 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 03:06:55,283 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,284 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,284 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,285 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,286 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,286 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,287 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,288 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,288 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,289 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,290 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,290 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,291 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,292 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,292 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,293 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,294 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,294 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,295 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,296 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,296 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,297 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,298 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 03:06:55,298 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,299 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,299 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,300 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,302 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,303 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,303 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,304 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 03:06:55,306 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sched.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/iconv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/utime.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/paths.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/termios.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,311 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/param.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 03:06:55,325 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits 2024-01-19 03:06:55,326 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 03:06:55,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 03:06:55,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/envlock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/regdef.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/libgen.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/limits.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/complex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/assert.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/unctrl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/pwd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/malloc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_ansi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/spawn.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wchar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/inttypes.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,339 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/file.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/features.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/times.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/param.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,361 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/select.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,363 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,365 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,366 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,366 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 03:06:55,367 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,368 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/search.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,369 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,369 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,370 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ndbm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/stdint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,372 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wordexp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,373 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wctype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,373 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,375 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,375 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,376 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,377 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,377 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,379 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 03:06:55,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/byteswap.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_syslist.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,381 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/elf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,382 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/langinfo.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,383 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/envz.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,383 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/regex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,384 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,385 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/memory.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,385 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/newlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,387 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ctype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,387 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/glob.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,388 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/strings.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,389 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fastmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,389 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,390 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/threads.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,391 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,391 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/alloca.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,392 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/signal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,393 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ftw.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,393 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/setjmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,394 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/grp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,395 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/getopt.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,395 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/picotls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,396 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/devctl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,397 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/tar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,397 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/locale.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/dirent.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,399 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:55,399 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:55,400 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:55,401 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 03:06:55,401 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:55,403 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:55,403 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:55,404 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:55,405 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 03:06:55,405 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/cpio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/argz.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,407 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/utmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 03:06:55,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,408 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/misc.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,409 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/fini.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,411 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/ffs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,413 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/init.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,414 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/lock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 03:06:55,415 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:55,415 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:55,416 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,416 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,417 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,418 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,418 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,419 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,422 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,422 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,423 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,424 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,424 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,425 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,427 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,428 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,428 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,429 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,430 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,430 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,432 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,433 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,434 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,436 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,439 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,439 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,441 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,442 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,443 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,444 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,445 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,446 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,446 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,447 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,448 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,448 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,450 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,451 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,452 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,452 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,454 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,454 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,455 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,456 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,456 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,458 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,459 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,460 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,462 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,463 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,463 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,464 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,465 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,465 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,466 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,467 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,467 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,468 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,469 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,469 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,470 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,472 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,473 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,474 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,474 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,475 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,476 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,477 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,478 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,478 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,479 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,480 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,481 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 03:06:55,481 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,483 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,484 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,485 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,485 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,486 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,487 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,487 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,488 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,489 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,489 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,491 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,492 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 03:06:55,493 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:55,494 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,494 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,495 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,495 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,496 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,497 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,498 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,498 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,499 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,500 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,501 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,501 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,502 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,503 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,503 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,504 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 03:06:55,505 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,505 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,506 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,507 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,507 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,508 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,509 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,509 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,510 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,511 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,511 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,512 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,513 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,513 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,514 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,515 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,516 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 03:06:55,516 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:55,517 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 03:06:55,518 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,518 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,519 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,520 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,520 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,521 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/locale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,522 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,522 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,523 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,524 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,524 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,525 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,526 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,526 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,527 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,528 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,528 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,529 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/locale.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 03:06:55,530 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys 2024-01-19 03:06:55,530 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems 2024-01-19 03:06:55,531 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 03:06:55,532 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 03:06:55,532 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 03:06:55,533 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 03:06:55,533 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 03:06:55,534 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:55,535 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 03:06:55,535 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 03:06:55,536 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 03:06:55,536 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 03:06:55,537 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 03:06:55,538 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:55,538 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:55,539 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:55,539 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 03:06:55,540 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:55,541 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:55,541 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:55,542 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:55,543 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:55,543 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:55,544 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 03:06:55,545 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:55,545 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:55,546 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:55,546 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 03:06:55,547 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:55,548 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:55,548 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:55,549 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 03:06:55,550 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:55,550 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:55,551 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:55,552 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:55,552 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:55,553 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 03:06:55,554 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:55,554 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/libc.in.xml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:55,555 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,556 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,556 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:55,557 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:55,558 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:55,558 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:55,559 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:55,560 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:55,560 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 03:06:55,561 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,562 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,562 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,563 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,564 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,564 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,565 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,566 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,566 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,567 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,568 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,568 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,569 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,570 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,570 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,571 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,572 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,572 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,573 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,574 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,574 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,575 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,576 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,576 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,577 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,578 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,578 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,579 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,580 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,581 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,581 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,582 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,582 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,583 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,584 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,584 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,585 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,587 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,588 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,588 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,589 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,590 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,590 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,591 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,592 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,592 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,593 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,594 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,594 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,595 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,596 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,596 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,597 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,598 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,598 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,599 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,600 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,600 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,601 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,602 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,602 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,603 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,605 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,606 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,606 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,607 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,608 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,608 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,609 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,610 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,610 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,611 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,612 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,612 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,613 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,614 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,614 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,615 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,616 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,616 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,617 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,618 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,618 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,619 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,620 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,620 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,621 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,622 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,622 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,623 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,624 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,624 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,625 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,626 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,626 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,627 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,628 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,628 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,629 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,630 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,630 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,631 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,632 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,632 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,633 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,634 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,634 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,635 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,636 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,636 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,637 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,638 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,638 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,639 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,640 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,640 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,641 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,642 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,642 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,643 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,644 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,644 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 03:06:55,645 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,646 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,646 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,647 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,648 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,648 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,649 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,650 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,650 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,651 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,652 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,652 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,653 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,654 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,654 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,655 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,656 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,656 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,657 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,658 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,658 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 03:06:55,659 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:55,660 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:55,660 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:55,661 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 03:06:55,662 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:55,662 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/reent.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:55,663 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:55,663 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/reent.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 03:06:55,664 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 03:06:55,665 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,665 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/mktime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,666 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,667 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,667 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,668 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,669 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,669 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/difftime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,670 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/time.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,671 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/asctime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,671 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,672 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,673 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/strptime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,673 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,674 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/strftime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,675 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/time.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,675 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,676 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,677 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,677 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,678 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gmtime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,679 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,679 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzvars.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,680 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,681 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/ctime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,681 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 03:06:55,682 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,683 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,683 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,684 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,685 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,685 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlwr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,686 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,687 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/flsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,687 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,688 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,690 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,691 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,691 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,692 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,693 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,693 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,694 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bcopy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,695 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,695 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,696 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strnlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,697 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,697 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,698 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,699 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,699 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,700 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,701 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkwide -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,701 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strerror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,702 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,703 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,703 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strndup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,704 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ffsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,705 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,705 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,706 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,707 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,707 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,708 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,709 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,709 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,710 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,711 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,711 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strupr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,712 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strtok.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,713 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,713 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,714 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/rindex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,715 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/uniset -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,715 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memmem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,716 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/combining.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,718 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,719 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,719 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,720 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,721 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,721 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcschr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,722 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ffsll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,723 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,723 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,724 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strsignal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,725 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,725 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strnstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,726 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strings.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,727 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,727 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,728 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,729 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,729 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,730 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,731 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,731 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,732 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,733 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,733 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,734 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,735 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,735 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/fls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,736 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wide.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,737 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,737 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,738 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/flsll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcstok.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,740 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,741 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,741 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,742 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkunidata -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,743 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,743 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,744 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strsep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,745 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,745 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,746 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,747 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,747 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkwidthA -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,748 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,749 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,749 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,750 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,751 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,751 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,752 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,753 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,753 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,754 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memccpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,755 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,755 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,756 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,757 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,757 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,758 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/swab.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,759 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strdup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,759 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,760 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/index.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,761 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,761 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 03:06:55,762 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,763 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/bsearch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,763 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,764 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/twalk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,765 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tfind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,765 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_func.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,766 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,767 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/extern.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,767 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/ndbm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,768 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tsearch.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,769 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,769 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,770 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/qsort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/db_local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tdelete.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/page.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_page.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tsearch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 03:06:55,780 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/README -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 03:06:55,791 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regerror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/rune.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/cname.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/nftw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regex2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/cclass.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collate.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/runetype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/namespace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/basename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collate.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/dirname.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regexec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/ftw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 03:06:55,808 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rget.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,830 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/flags.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/gets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,860 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 03:06:55,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 03:06:55,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 03:06:55,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,889 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,889 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,890 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,892 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/perror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,893 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,894 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,894 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,895 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,903 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,911 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/refill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/feof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 03:06:55,929 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/signal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/psignal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/signal.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/raise.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 03:06:55,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/ChangeLog -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/newlib.hin -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/ChangeLog-2015 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/NEWS -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/refcontainers.xslt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/empty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,942 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/HOWTO -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:55,943 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:55,943 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 03:06:55,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 03:06:55,944 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 03:06:55,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 03:06:55,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 03:06:55,946 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 03:06:55,954 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 03:06:55,977 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 03:06:55,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 03:06:55,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 03:06:55,979 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 03:06:55,999 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:56,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:56,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:56,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 03:06:56,002 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 03:06:56,026 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:56,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:56,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:56,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 03:06:56,028 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 03:06:56,057 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 03:06:56,069 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,092 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,151 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,157 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,170 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,170 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,171 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,175 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,186 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,186 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 03:06:56,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,278 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,279 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,279 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,280 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,281 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,281 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 03:06:56,282 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:56,283 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,283 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/modfl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,284 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,285 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lroundl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,285 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,286 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,287 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/erfcl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,287 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,288 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,289 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,289 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,290 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,291 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/logbl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,291 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,292 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/llrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,293 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,293 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,294 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atan2l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,295 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,295 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,296 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,297 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/llroundl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,297 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,298 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,299 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,299 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,300 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/expm1l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ceill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,302 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,303 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/remainderl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,303 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,304 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,306 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/expl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/copysignl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/asinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/erfl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/floorl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/logl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/truncl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fdiml.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fabsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log1pl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp2l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_logb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isnanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/acoshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/frexpl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/signgam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tgammal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/rintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,361 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,363 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/powl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,365 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/remquol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,366 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,366 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/dreml.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,367 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,368 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,368 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,369 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,370 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isgreater.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,370 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_modf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,372 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,372 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,373 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,375 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,376 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,376 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,377 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/roundl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,379 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,381 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,382 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isinfl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,382 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,383 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,384 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,384 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,385 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fminl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,387 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,388 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,388 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/acosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,389 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,390 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,390 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,391 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lgammal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,392 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,392 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,393 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/asinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,394 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmodl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,394 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,395 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,396 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,396 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,397 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,399 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,400 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,400 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,401 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/coshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,402 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,402 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,403 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,404 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,404 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,405 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/finitel.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,407 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,408 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,408 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,409 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 03:06:56,411 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,413 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conjl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,414 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,414 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,415 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,416 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,416 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,417 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,418 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,418 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,419 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,421 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,422 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,422 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,423 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,424 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,424 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,425 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/carg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,427 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,428 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,428 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,429 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,430 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,430 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,431 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,432 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,432 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,433 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,434 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,434 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,435 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,436 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,436 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/crealf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,437 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,438 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,438 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,439 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/creall.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clogf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cargl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,441 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,442 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,442 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,443 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,443 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,444 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,445 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cargf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,445 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,446 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,447 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,447 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,448 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,449 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,450 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cproj.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,450 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,451 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/creal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,451 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/complex.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,452 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,453 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conjf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,453 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,454 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,455 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,455 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,456 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,458 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,459 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clogl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,459 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,460 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,462 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conj.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 03:06:56,463 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,463 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,464 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,465 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,465 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,466 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,467 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,467 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,468 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,469 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,469 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,470 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,472 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,473 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,473 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,474 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,474 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,475 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,476 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,476 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,477 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 03:06:56,478 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/libm.in.xml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:56,478 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 03:06:56,479 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,480 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,480 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,481 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,482 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,483 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,483 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,484 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/math.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,485 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,485 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,486 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,487 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,487 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,488 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,489 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,489 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,490 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,491 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,491 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,492 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,493 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,493 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_drem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,494 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,495 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,495 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_j1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,496 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_j0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,497 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,497 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,498 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,499 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,499 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,500 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,501 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,501 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,502 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,503 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,503 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,504 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,505 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,505 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,506 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,507 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,507 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,508 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,509 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,509 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,510 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,511 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,511 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,512 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,513 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,513 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,514 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,515 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,515 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,516 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,517 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,517 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,518 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,519 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,519 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,520 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_signif.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,521 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,521 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,522 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,523 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,523 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_jn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,524 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,525 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,525 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,526 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,527 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,527 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,528 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,529 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,529 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,530 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,531 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,531 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,532 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,533 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,533 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,534 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,535 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,535 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,536 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,537 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,537 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,538 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,539 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,539 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,540 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 03:06:56,541 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,541 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,542 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/math.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,543 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,544 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,544 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,545 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,546 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/dvec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,546 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,547 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,548 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,549 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,550 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,550 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test_is.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,551 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,552 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,553 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,553 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,554 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,555 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,555 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/convert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,556 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,557 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,558 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,559 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,560 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,560 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,561 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,562 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,563 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,564 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,564 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,565 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,566 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,566 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/math2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,567 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,568 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,569 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,569 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,570 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,571 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,571 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,572 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,573 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,574 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,574 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,575 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,576 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,577 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,577 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,578 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,579 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,579 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,580 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,581 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,581 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,582 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,583 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,584 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,584 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,585 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/string.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,587 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,588 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,589 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,589 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,590 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,591 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,591 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,592 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,593 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,594 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,594 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,595 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,596 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,597 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,597 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/dcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,598 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,599 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,599 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,600 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,601 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,601 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,602 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,603 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,605 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,606 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,607 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,607 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,609 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 03:06:56,610 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/man.xsl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:56,610 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/MAINTAINERS -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:56,611 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 03:06:56,612 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 03:06:56,612 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/config/default.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 03:06:56,613 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:56,613 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:56,614 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:56,615 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:56,615 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:56,616 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:56,617 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 03:06:56,618 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 03:06:56,618 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/include/check.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 03:06:56,619 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:56,619 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:56,620 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:56,621 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 03:06:56,621 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:56,622 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:56,622 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:56,623 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:56,624 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:56,624 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 03:06:56,625 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:56,626 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:56,626 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:56,627 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:56,628 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:56,628 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 03:06:56,629 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:56,630 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:56,630 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:56,631 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:56,632 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 03:06:56,632 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 03:06:56,633 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 03:06:56,633 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 03:06:56,634 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 03:06:56,635 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:56,635 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:56,636 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:56,637 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:56,637 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 03:06:56,638 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:56,638 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:56,639 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:56,640 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 03:06:56,641 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 03:06:56,641 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:56,642 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:56,642 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:56,643 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:56,644 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:56,644 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 03:06:56,645 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:56,646 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:56,646 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:56,647 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:56,648 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 03:06:56,648 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/README -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 03:06:56,649 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:56,649 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:56,650 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/makedocbook.py -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:56,651 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:56,651 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/doc.str -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 03:06:56,652 root INFO copying build/lib/pythondata_software_picolibc/data/find-copyright -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,653 root INFO copying build/lib/pythondata_software_picolibc/data/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,654 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.picolibc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,655 root INFO copying build/lib/pythondata_software_picolibc/data/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,656 root INFO copying build/lib/pythondata_software_picolibc/data/.editorconfig -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,656 root INFO copying build/lib/pythondata_software_picolibc/data/.gitattributes -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,657 root INFO copying build/lib/pythondata_software_picolibc/data/meson_options.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,658 root INFO copying build/lib/pythondata_software_picolibc/data/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,658 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.h.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,659 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.specs.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,660 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:56,660 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine 2024-01-19 03:06:56,661 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 03:06:56,661 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 03:06:56,662 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 03:06:56,663 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:56,663 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:56,664 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:56,664 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:56,665 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 03:06:56,666 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:56,666 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:56,667 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:56,668 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 03:06:56,668 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 03:06:56,669 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 03:06:56,669 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 03:06:56,670 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:56,671 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:56,672 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 03:06:56,672 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/shared/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 03:06:56,673 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/crt0.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 03:06:56,673 root INFO copying build/lib/pythondata_software_picolibc/data/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,674 root INFO copying build/lib/pythondata_software_picolibc/data/test.specs.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,675 root INFO copying build/lib/pythondata_software_picolibc/data/README.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,676 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,676 root INFO copying build/lib/pythondata_software_picolibc/data/test/testcases.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,677 root INFO copying build/lib/pythondata_software_picolibc/data/test/regex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,677 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,678 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,679 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,679 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,680 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/testcase.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,681 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/qsort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,681 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,682 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,683 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/string.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,683 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,684 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/basename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,685 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/dirname.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,685 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 03:06:56,686 root INFO copying build/lib/pythondata_software_picolibc/data/test/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,687 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,687 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,688 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-open.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,689 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-writec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,689 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-flen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,690 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,691 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-write0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,691 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,692 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-system.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,693 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,693 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,694 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,695 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-close.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,695 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,696 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,697 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,697 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,698 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-iserror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,699 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-istty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,699 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-argv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,700 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,701 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,701 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,702 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-seek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,703 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,703 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-readc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,704 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,704 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,705 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-read.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,706 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-time.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,706 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,707 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 03:06:56,708 root INFO copying build/lib/pythondata_software_picolibc/data/test/rand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,708 root INFO copying build/lib/pythondata_software_picolibc/data/test/malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,709 root INFO copying build/lib/pythondata_software_picolibc/data/test/complex-funcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,710 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-efcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,710 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,711 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,712 root INFO copying build/lib/pythondata_software_picolibc/data/test/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,712 root INFO copying build/lib/pythondata_software_picolibc/data/test/setjmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,713 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,714 root INFO copying build/lib/pythondata_software_picolibc/data/test/ffs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,714 root INFO copying build/lib/pythondata_software_picolibc/data/test/on_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,715 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,716 root INFO copying build/lib/pythondata_software_picolibc/data/test/math-funcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,716 root INFO copying build/lib/pythondata_software_picolibc/data/test/malloc_stress.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,717 root INFO copying build/lib/pythondata_software_picolibc/data/test/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,718 root INFO copying build/lib/pythondata_software_picolibc/data/test/posix-io.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,718 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-except.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,719 root INFO copying build/lib/pythondata_software_picolibc/data/test/printf-tests.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,720 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32-sub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,720 root INFO copying build/lib/pythondata_software_picolibc/data/test/timegm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,721 root INFO copying build/lib/pythondata_software_picolibc/data/test/timegm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,722 root INFO copying build/lib/pythondata_software_picolibc/data/test/math_errhandling_tests.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,723 root INFO copying build/lib/pythondata_software_picolibc/data/test/hosted-exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,724 root INFO copying build/lib/pythondata_software_picolibc/data/test/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,724 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,725 root INFO copying build/lib/pythondata_software_picolibc/data/test/math_errhandling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,726 root INFO copying build/lib/pythondata_software_picolibc/data/test/constructor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,726 root INFO copying build/lib/pythondata_software_picolibc/data/test/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,727 root INFO copying build/lib/pythondata_software_picolibc/data/test/lock-valid.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,728 root INFO copying build/lib/pythondata_software_picolibc/data/test/rounding-mode-sub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,728 root INFO copying build/lib/pythondata_software_picolibc/data/test/time-tests.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,729 root INFO copying build/lib/pythondata_software_picolibc/data/test/constructor-skip.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,730 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-put.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,730 root INFO copying build/lib/pythondata_software_picolibc/data/test/stack-smash.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,731 root INFO copying build/lib/pythondata_software_picolibc/data/test/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,732 root INFO copying build/lib/pythondata_software_picolibc/data/test/rounding-mode.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,732 root INFO copying build/lib/pythondata_software_picolibc/data/test/time-sprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,733 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-mktemp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,734 root INFO copying build/lib/pythondata_software_picolibc/data/test/printf_scanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,734 root INFO copying build/lib/pythondata_software_picolibc/data/test/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-01-19 03:06:56,735 root INFO copying build/lib/pythondata_software_picolibc/data/make-copyrights -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,736 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.ld.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-01-19 03:06:56,736 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,737 root INFO copying build/lib/pythondata_software_picolibc/data/doc/releasing.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,738 root INFO copying build/lib/pythondata_software_picolibc/data/doc/locking.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,738 root INFO copying build/lib/pythondata_software_picolibc/data/doc/embedsource.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,739 root INFO copying build/lib/pythondata_software_picolibc/data/doc/picolibc.svg -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,740 root INFO copying build/lib/pythondata_software_picolibc/data/doc/testing.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,740 root INFO copying build/lib/pythondata_software_picolibc/data/doc/using.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,741 root INFO copying build/lib/pythondata_software_picolibc/data/doc/tls.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,742 root INFO copying build/lib/pythondata_software_picolibc/data/doc/linking.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,742 root INFO copying build/lib/pythondata_software_picolibc/data/doc/os.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,743 root INFO copying build/lib/pythondata_software_picolibc/data/doc/build.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,744 root INFO copying build/lib/pythondata_software_picolibc/data/doc/init.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,744 root INFO copying build/lib/pythondata_software_picolibc/data/doc/printf.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 03:06:56,745 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,746 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,746 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-x86_64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,747 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-nios2-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,748 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,748 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,749 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,750 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,750 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,751 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,752 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,752 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,753 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,754 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,754 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,755 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-x86 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,756 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,756 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,757 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,758 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,758 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-m68k-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,759 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-cortex-a9-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,760 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv32.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,760 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,761 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-m68k.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,762 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,762 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/duplicate-names -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,763 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,764 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,764 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,765 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-arm-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,765 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,766 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,767 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-powerpc64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,767 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,768 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,769 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,769 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv6m -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,770 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,771 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-x86_64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,771 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-freedom-tools-package -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,772 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-aarch64 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,773 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/monitor-e9 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,773 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,774 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-riscv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,775 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-x86-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,775 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,776 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-native-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,777 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,777 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,778 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,779 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-x86_64 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,780 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-riscv-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,780 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,781 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,781 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-sparc64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,782 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,783 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,783 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,784 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,785 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,785 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-rv32imac.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,786 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-msp430-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,787 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,787 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-rv32imac-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,788 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,789 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,789 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,790 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,791 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,791 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv7e -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,792 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,793 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arm-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,793 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-rv32imac -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,794 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-aarch64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,795 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,795 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,796 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-lx106-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,797 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-freedom-tools-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,797 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,798 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,799 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,799 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-arm.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,800 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,801 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,801 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,802 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-i386 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,803 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,803 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-x86.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,804 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,805 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,805 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,806 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,807 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-rv32imafdc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,807 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arc-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,808 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-i386-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,809 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,809 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,810 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,811 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-riscv-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,811 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arc64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,812 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,813 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv7m -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,813 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-cortex-a9 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,814 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-i386.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,815 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-mips-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,815 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,816 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,816 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,817 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-msp430.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,818 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,818 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-powerpc64le-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,819 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,820 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-cortex-a9.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,820 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-aarch64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,821 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-mipsel-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,822 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,822 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-msp430-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,823 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-esp32-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,824 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,824 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 03:06:56,825 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,826 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,826 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-aarch64++ -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,827 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/riscv-cpp.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,828 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-riscv++ -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,828 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,829 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/aarch64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,829 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-aarch64 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,830 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-riscv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,831 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,831 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/hello-world.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,832 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/arm.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,833 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/test-file -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,833 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,834 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/README.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,835 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,835 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,836 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,837 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/arm-cpp.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 03:06:56,837 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,838 root INFO copying build/lib/pythondata_software_picolibc/data/.github/CODEOWNERS -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,839 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-test -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,839 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-cmake-test -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,840 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,841 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,841 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-head -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,842 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,842 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/variants -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,843 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-minsize -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,844 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-misc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,844 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-ppc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,845 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-release -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,846 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/linux.yml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,847 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-riscv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,847 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,848 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/make-workflow -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,849 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-fortify-source -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,849 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,850 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-zephyr -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,850 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/head -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,851 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/variants-cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,852 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/macos.yml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,852 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-mips -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 03:06:56,853 root INFO copying build/lib/pythondata_software_picolibc/data/.github/packages.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,854 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-zephyr -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,854 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-zephyr-build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,855 root INFO copying build/lib/pythondata_software_picolibc/data/.github/extra-files.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,856 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-many -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,856 root INFO copying build/lib/pythondata_software_picolibc/data/.github/Dockerfile -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 03:06:56,857 root INFO running install_egg_info 2024-01-19 03:06:56,874 root INFO Copying pythondata_software_picolibc.egg-info to build/bdist.linux-riscv64/wheel/pythondata_software_picolibc-1.7.9.post181-py3.11.egg-info 2024-01-19 03:06:56,878 root INFO running install_scripts 2024-01-19 03:06:56,886 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc-1.7.9.post181.dist-info/WHEEL 2024-01-19 03:06:56,889 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-162dncgq/pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:06:56,892 wheel INFO adding 'pythondata_software_picolibc/__init__.py' 2024-01-19 03:06:56,896 wheel INFO adding 'pythondata_software_picolibc/data/.clang-format' 2024-01-19 03:06:56,897 wheel INFO adding 'pythondata_software_picolibc/data/.editorconfig' 2024-01-19 03:06:56,898 wheel INFO adding 'pythondata_software_picolibc/data/.gitattributes' 2024-01-19 03:06:56,899 wheel INFO adding 'pythondata_software_picolibc/data/.gitignore' 2024-01-19 03:06:56,900 wheel INFO adding 'pythondata_software_picolibc/data/CMakeLists.txt' 2024-01-19 03:06:56,901 wheel INFO adding 'pythondata_software_picolibc/data/CODE_OF_CONDUCT.md' 2024-01-19 03:06:56,902 wheel INFO adding 'pythondata_software_picolibc/data/CONTRIBUTING.md' 2024-01-19 03:06:56,903 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.GPL2' 2024-01-19 03:06:56,905 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.NEWLIB' 2024-01-19 03:06:56,907 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.picolibc' 2024-01-19 03:06:56,912 wheel INFO adding 'pythondata_software_picolibc/data/README.md' 2024-01-19 03:06:56,913 wheel INFO adding 'pythondata_software_picolibc/data/cross.tmpl' 2024-01-19 03:06:56,914 wheel INFO adding 'pythondata_software_picolibc/data/find-copyright' 2024-01-19 03:06:56,915 wheel INFO adding 'pythondata_software_picolibc/data/make-copyrights' 2024-01-19 03:06:56,916 wheel INFO adding 'pythondata_software_picolibc/data/meson.build' 2024-01-19 03:06:56,918 wheel INFO adding 'pythondata_software_picolibc/data/meson_options.txt' 2024-01-19 03:06:56,919 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.h.in' 2024-01-19 03:06:56,920 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.ld.in' 2024-01-19 03:06:56,921 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.specs.in' 2024-01-19 03:06:56,922 wheel INFO adding 'pythondata_software_picolibc/data/test.specs.in' 2024-01-19 03:06:56,923 wheel INFO adding 'pythondata_software_picolibc/data/.github/CODEOWNERS' 2024-01-19 03:06:56,924 wheel INFO adding 'pythondata_software_picolibc/data/.github/Dockerfile' 2024-01-19 03:06:56,925 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-build' 2024-01-19 03:06:56,926 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-cmake-test' 2024-01-19 03:06:56,927 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-many' 2024-01-19 03:06:56,927 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-test' 2024-01-19 03:06:56,928 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-zephyr' 2024-01-19 03:06:56,929 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-zephyr-build' 2024-01-19 03:06:56,930 wheel INFO adding 'pythondata_software_picolibc/data/.github/extra-files.txt' 2024-01-19 03:06:56,931 wheel INFO adding 'pythondata_software_picolibc/data/.github/packages.txt' 2024-01-19 03:06:56,932 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/head' 2024-01-19 03:06:56,933 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/linux.yml' 2024-01-19 03:06:56,934 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/macos.yml' 2024-01-19 03:06:56,935 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/make-workflow' 2024-01-19 03:06:56,936 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-cmake' 2024-01-19 03:06:56,937 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-fortify-source' 2024-01-19 03:06:56,938 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-head' 2024-01-19 03:06:56,939 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-minsize' 2024-01-19 03:06:56,939 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-release' 2024-01-19 03:06:56,940 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-arm' 2024-01-19 03:06:56,941 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm' 2024-01-19 03:06:56,942 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-mips' 2024-01-19 03:06:56,943 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-misc' 2024-01-19 03:06:56,944 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-ppc' 2024-01-19 03:06:56,945 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-riscv' 2024-01-19 03:06:56,945 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-zephyr' 2024-01-19 03:06:56,946 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/variants' 2024-01-19 03:06:56,947 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/variants-cmake' 2024-01-19 03:06:56,948 wheel INFO adding 'pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake' 2024-01-19 03:06:56,949 wheel INFO adding 'pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld' 2024-01-19 03:06:56,950 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-alias-attribute.c' 2024-01-19 03:06:56,951 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-alloc-size.c' 2024-01-19 03:06:56,952 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c' 2024-01-19 03:06:56,953 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c' 2024-01-19 03:06:56,953 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-long-double.c' 2024-01-19 03:06:56,954 wheel INFO adding 'pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c' 2024-01-19 03:06:56,955 wheel INFO adding 'pythondata_software_picolibc/data/cmake/picolibc.cmake' 2024-01-19 03:06:56,956 wheel INFO adding 'pythondata_software_picolibc/data/doc/build.md' 2024-01-19 03:06:56,958 wheel INFO adding 'pythondata_software_picolibc/data/doc/embedsource.md' 2024-01-19 03:06:56,958 wheel INFO adding 'pythondata_software_picolibc/data/doc/init.md' 2024-01-19 03:06:56,959 wheel INFO adding 'pythondata_software_picolibc/data/doc/linking.md' 2024-01-19 03:06:56,960 wheel INFO adding 'pythondata_software_picolibc/data/doc/locking.md' 2024-01-19 03:06:56,961 wheel INFO adding 'pythondata_software_picolibc/data/doc/os.md' 2024-01-19 03:06:56,962 wheel INFO adding 'pythondata_software_picolibc/data/doc/picolibc.svg' 2024-01-19 03:06:56,963 wheel INFO adding 'pythondata_software_picolibc/data/doc/printf.md' 2024-01-19 03:06:56,964 wheel INFO adding 'pythondata_software_picolibc/data/doc/releasing.md' 2024-01-19 03:06:56,965 wheel INFO adding 'pythondata_software_picolibc/data/doc/testing.md' 2024-01-19 03:06:56,966 wheel INFO adding 'pythondata_software_picolibc/data/doc/tls.md' 2024-01-19 03:06:56,967 wheel INFO adding 'pythondata_software_picolibc/data/doc/using.md' 2024-01-19 03:06:56,968 wheel INFO adding 'pythondata_software_picolibc/data/dummyhost/iob.c' 2024-01-19 03:06:56,969 wheel INFO adding 'pythondata_software_picolibc/data/dummyhost/meson.build' 2024-01-19 03:06:56,970 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/.gitignore' 2024-01-19 03:06:56,971 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/Makefile' 2024-01-19 03:06:56,972 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/README.md' 2024-01-19 03:06:56,973 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld' 2024-01-19 03:06:56,973 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/aarch64.ld' 2024-01-19 03:06:56,974 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/arm-cpp.ld' 2024-01-19 03:06:56,975 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/arm.ld' 2024-01-19 03:06:56,976 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/hello-world.c' 2024-01-19 03:06:56,977 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp' 2024-01-19 03:06:56,978 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/printf.c' 2024-01-19 03:06:56,979 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/riscv-cpp.ld' 2024-01-19 03:06:56,980 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/riscv.ld' 2024-01-19 03:06:56,980 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-aarch64' 2024-01-19 03:06:56,981 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-aarch64++' 2024-01-19 03:06:56,982 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-arm' 2024-01-19 03:06:56,983 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-riscv' 2024-01-19 03:06:56,984 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-riscv++' 2024-01-19 03:06:56,985 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/test-file' 2024-01-19 03:06:56,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/CMakeLists.txt' 2024-01-19 03:06:56,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/ChangeLog' 2024-01-19 03:06:56,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/ChangeLog-2015' 2024-01-19 03:06:57,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/HOWTO' 2024-01-19 03:06:57,010 wheel INFO adding 'pythondata_software_picolibc/data/newlib/MAINTAINERS' 2024-01-19 03:06:57,011 wheel INFO adding 'pythondata_software_picolibc/data/newlib/NEWS' 2024-01-19 03:06:57,012 wheel INFO adding 'pythondata_software_picolibc/data/newlib/README' 2024-01-19 03:06:57,013 wheel INFO adding 'pythondata_software_picolibc/data/newlib/empty.c' 2024-01-19 03:06:57,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/man.xsl' 2024-01-19 03:06:57,015 wheel INFO adding 'pythondata_software_picolibc/data/newlib/meson.build' 2024-01-19 03:06:57,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/newlib.hin' 2024-01-19 03:06:57,017 wheel INFO adding 'pythondata_software_picolibc/data/newlib/refcontainers.xslt' 2024-01-19 03:06:57,018 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/.gitignore' 2024-01-19 03:06:57,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py' 2024-01-19 03:06:57,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/doc.str' 2024-01-19 03:06:57,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/makedocbook.py' 2024-01-19 03:06:57,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt' 2024-01-19 03:06:57,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/libc.in.xml' 2024-01-19 03:06:57,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/meson.build' 2024-01-19 03:06:57,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys.tex' 2024-01-19 03:06:57,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt' 2024-01-19 03:06:57,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c' 2024-01-19 03:06:57,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c' 2024-01-19 03:06:57,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c' 2024-01-19 03:06:57,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c' 2024-01-19 03:06:57,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c' 2024-01-19 03:06:57,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c' 2024-01-19 03:06:57,032 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c' 2024-01-19 03:06:57,033 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c' 2024-01-19 03:06:57,034 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c' 2024-01-19 03:06:57,035 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c' 2024-01-19 03:06:57,036 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c' 2024-01-19 03:06:57,037 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c' 2024-01-19 03:06:57,037 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c' 2024-01-19 03:06:57,038 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h' 2024-01-19 03:06:57,039 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c' 2024-01-19 03:06:57,040 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c' 2024-01-19 03:06:57,041 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c' 2024-01-19 03:06:57,042 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c' 2024-01-19 03:06:57,043 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c' 2024-01-19 03:06:57,043 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c' 2024-01-19 03:06:57,044 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/meson.build' 2024-01-19 03:06:57,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt' 2024-01-19 03:06:57,047 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t' 2024-01-19 03:06:57,048 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.c' 2024-01-19 03:06:57,049 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat' 2024-01-19 03:06:57,050 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.h' 2024-01-19 03:06:57,051 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.t' 2024-01-19 03:06:57,052 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex' 2024-01-19 03:06:57,053 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c' 2024-01-19 03:06:57,054 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h' 2024-01-19 03:06:57,055 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h' 2024-01-19 03:06:57,056 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h' 2024-01-19 03:06:57,058 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c' 2024-01-19 03:06:57,058 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c' 2024-01-19 03:06:57,059 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c' 2024-01-19 03:06:57,060 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c' 2024-01-19 03:06:57,061 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c' 2024-01-19 03:06:57,062 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c' 2024-01-19 03:06:57,063 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c' 2024-01-19 03:06:57,064 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c' 2024-01-19 03:06:57,065 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c' 2024-01-19 03:06:57,066 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c' 2024-01-19 03:06:57,066 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c' 2024-01-19 03:06:57,067 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c' 2024-01-19 03:06:57,068 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/islower.c' 2024-01-19 03:06:57,069 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c' 2024-01-19 03:06:57,070 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c' 2024-01-19 03:06:57,071 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c' 2024-01-19 03:06:57,072 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c' 2024-01-19 03:06:57,073 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c' 2024-01-19 03:06:57,073 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c' 2024-01-19 03:06:57,074 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c' 2024-01-19 03:06:57,075 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c' 2024-01-19 03:06:57,076 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c' 2024-01-19 03:06:57,077 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c' 2024-01-19 03:06:57,078 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c' 2024-01-19 03:06:57,079 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c' 2024-01-19 03:06:57,080 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c' 2024-01-19 03:06:57,080 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c' 2024-01-19 03:06:57,081 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c' 2024-01-19 03:06:57,082 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c' 2024-01-19 03:06:57,083 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c' 2024-01-19 03:06:57,084 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c' 2024-01-19 03:06:57,085 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c' 2024-01-19 03:06:57,086 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c' 2024-01-19 03:06:57,087 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c' 2024-01-19 03:06:57,087 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c' 2024-01-19 03:06:57,088 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c' 2024-01-19 03:06:57,089 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c' 2024-01-19 03:06:57,090 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c' 2024-01-19 03:06:57,091 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c' 2024-01-19 03:06:57,092 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c' 2024-01-19 03:06:57,093 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c' 2024-01-19 03:06:57,094 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c' 2024-01-19 03:06:57,097 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c' 2024-01-19 03:06:57,098 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c' 2024-01-19 03:06:57,099 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c' 2024-01-19 03:06:57,100 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c' 2024-01-19 03:06:57,100 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c' 2024-01-19 03:06:57,101 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c' 2024-01-19 03:06:57,102 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c' 2024-01-19 03:06:57,103 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c' 2024-01-19 03:06:57,104 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c' 2024-01-19 03:06:57,105 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h' 2024-01-19 03:06:57,107 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/local.h' 2024-01-19 03:06:57,108 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/meson.build' 2024-01-19 03:06:57,109 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv' 2024-01-19 03:06:57,110 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories' 2024-01-19 03:06:57,111 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata' 2024-01-19 03:06:57,112 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c' 2024-01-19 03:06:57,113 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c' 2024-01-19 03:06:57,114 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c' 2024-01-19 03:06:57,115 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c' 2024-01-19 03:06:57,115 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c' 2024-01-19 03:06:57,116 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c' 2024-01-19 03:06:57,117 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c' 2024-01-19 03:06:57,118 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c' 2024-01-19 03:06:57,119 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c' 2024-01-19 03:06:57,120 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c' 2024-01-19 03:06:57,121 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c' 2024-01-19 03:06:57,122 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c' 2024-01-19 03:06:57,123 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c' 2024-01-19 03:06:57,123 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c' 2024-01-19 03:06:57,124 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c' 2024-01-19 03:06:57,125 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c' 2024-01-19 03:06:57,126 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt' 2024-01-19 03:06:57,127 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/errno.c' 2024-01-19 03:06:57,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/meson.build' 2024-01-19 03:06:57,129 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt' 2024-01-19 03:06:57,130 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases' 2024-01-19 03:06:57,131 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex' 2024-01-19 03:06:57,133 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/meson.build' 2024-01-19 03:06:57,134 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt' 2024-01-19 03:06:57,137 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c' 2024-01-19 03:06:57,149 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h' 2024-01-19 03:06:57,150 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c' 2024-01-19 03:06:57,151 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h' 2024-01-19 03:06:57,152 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h' 2024-01-19 03:06:57,155 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c' 2024-01-19 03:06:57,166 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c' 2024-01-19 03:06:57,178 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c' 2024-01-19 03:06:57,189 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c' 2024-01-19 03:06:57,190 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c' 2024-01-19 03:06:57,191 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c' 2024-01-19 03:06:57,192 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c' 2024-01-19 03:06:57,194 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c' 2024-01-19 03:06:57,195 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c' 2024-01-19 03:06:57,196 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c' 2024-01-19 03:06:57,197 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c' 2024-01-19 03:06:57,198 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c' 2024-01-19 03:06:57,199 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c' 2024-01-19 03:06:57,200 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c' 2024-01-19 03:06:57,201 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c' 2024-01-19 03:06:57,202 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c' 2024-01-19 03:06:57,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c' 2024-01-19 03:06:57,204 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c' 2024-01-19 03:06:57,205 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c' 2024-01-19 03:06:57,206 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c' 2024-01-19 03:06:57,208 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c' 2024-01-19 03:06:57,209 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c' 2024-01-19 03:06:57,210 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c' 2024-01-19 03:06:57,211 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c' 2024-01-19 03:06:57,213 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c' 2024-01-19 03:06:57,226 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c' 2024-01-19 03:06:57,236 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c' 2024-01-19 03:06:57,238 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c' 2024-01-19 03:06:57,239 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c' 2024-01-19 03:06:57,240 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c' 2024-01-19 03:06:57,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c' 2024-01-19 03:06:57,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build' 2024-01-19 03:06:57,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl' 2024-01-19 03:06:57,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c' 2024-01-19 03:06:57,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c' 2024-01-19 03:06:57,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c' 2024-01-19 03:06:57,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c' 2024-01-19 03:06:57,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c' 2024-01-19 03:06:57,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c' 2024-01-19 03:06:57,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c' 2024-01-19 03:06:57,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c' 2024-01-19 03:06:57,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c' 2024-01-19 03:06:57,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt' 2024-01-19 03:06:57,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct' 2024-01-19 03:06:57,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct' 2024-01-19 03:06:57,285 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct' 2024-01-19 03:06:57,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct' 2024-01-19 03:06:57,296 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct' 2024-01-19 03:06:57,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct' 2024-01-19 03:06:57,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct' 2024-01-19 03:06:57,298 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct' 2024-01-19 03:06:57,299 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct' 2024-01-19 03:06:57,300 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct' 2024-01-19 03:06:57,301 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct' 2024-01-19 03:06:57,302 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct' 2024-01-19 03:06:57,303 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct' 2024-01-19 03:06:57,304 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct' 2024-01-19 03:06:57,305 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct' 2024-01-19 03:06:57,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct' 2024-01-19 03:06:57,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct' 2024-01-19 03:06:57,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct' 2024-01-19 03:06:57,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct' 2024-01-19 03:06:57,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct' 2024-01-19 03:06:57,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct' 2024-01-19 03:06:57,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct' 2024-01-19 03:06:57,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct' 2024-01-19 03:06:57,313 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct' 2024-01-19 03:06:57,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct' 2024-01-19 03:06:57,316 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct' 2024-01-19 03:06:57,322 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct' 2024-01-19 03:06:57,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct' 2024-01-19 03:06:57,327 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct' 2024-01-19 03:06:57,328 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct' 2024-01-19 03:06:57,329 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct' 2024-01-19 03:06:57,331 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct' 2024-01-19 03:06:57,338 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build' 2024-01-19 03:06:57,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct' 2024-01-19 03:06:57,340 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct' 2024-01-19 03:06:57,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct' 2024-01-19 03:06:57,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct' 2024-01-19 03:06:57,342 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct' 2024-01-19 03:06:57,343 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct' 2024-01-19 03:06:57,344 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct' 2024-01-19 03:06:57,345 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct' 2024-01-19 03:06:57,346 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct' 2024-01-19 03:06:57,347 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt' 2024-01-19 03:06:57,348 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c' 2024-01-19 03:06:57,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h' 2024-01-19 03:06:57,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h' 2024-01-19 03:06:57,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c' 2024-01-19 03:06:57,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build' 2024-01-19 03:06:57,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl' 2024-01-19 03:06:57,355 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c' 2024-01-19 03:06:57,356 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c' 2024-01-19 03:06:57,357 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c' 2024-01-19 03:06:57,358 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c' 2024-01-19 03:06:57,359 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c' 2024-01-19 03:06:57,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c' 2024-01-19 03:06:57,361 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c' 2024-01-19 03:06:57,362 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c' 2024-01-19 03:06:57,363 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c' 2024-01-19 03:06:57,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt' 2024-01-19 03:06:57,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c' 2024-01-19 03:06:57,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c' 2024-01-19 03:06:57,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h' 2024-01-19 03:06:57,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h' 2024-01-19 03:06:57,369 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps' 2024-01-19 03:06:57,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h' 2024-01-19 03:06:57,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c' 2024-01-19 03:06:57,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c' 2024-01-19 03:06:57,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h' 2024-01-19 03:06:57,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h' 2024-01-19 03:06:57,375 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build' 2024-01-19 03:06:57,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c' 2024-01-19 03:06:57,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c' 2024-01-19 03:06:57,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h' 2024-01-19 03:06:57,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt' 2024-01-19 03:06:57,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_ansi.h' 2024-01-19 03:06:57,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h' 2024-01-19 03:06:57,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_syslist.h' 2024-01-19 03:06:57,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/alloca.h' 2024-01-19 03:06:57,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ar.h' 2024-01-19 03:06:57,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/argz.h' 2024-01-19 03:06:57,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/assert.h' 2024-01-19 03:06:57,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/byteswap.h' 2024-01-19 03:06:57,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/complex.h' 2024-01-19 03:06:57,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/cpio.h' 2024-01-19 03:06:57,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ctype.h' 2024-01-19 03:06:57,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/devctl.h' 2024-01-19 03:06:57,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/dirent.h' 2024-01-19 03:06:57,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/elf.h' 2024-01-19 03:06:57,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/endian.h' 2024-01-19 03:06:57,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/envlock.h' 2024-01-19 03:06:57,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/envz.h' 2024-01-19 03:06:57,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/errno.h' 2024-01-19 03:06:57,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fastmath.h' 2024-01-19 03:06:57,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fcntl.h' 2024-01-19 03:06:57,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fenv.h' 2024-01-19 03:06:57,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h' 2024-01-19 03:06:57,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ftw.h' 2024-01-19 03:06:57,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/getopt.h' 2024-01-19 03:06:57,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/glob.h' 2024-01-19 03:06:57,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/grp.h' 2024-01-19 03:06:57,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/iconv.h' 2024-01-19 03:06:57,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h' 2024-01-19 03:06:57,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/inttypes.h' 2024-01-19 03:06:57,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/langinfo.h' 2024-01-19 03:06:57,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/libgen.h' 2024-01-19 03:06:57,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/limits.h' 2024-01-19 03:06:57,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/locale.h' 2024-01-19 03:06:57,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/malloc.h' 2024-01-19 03:06:57,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/math.h' 2024-01-19 03:06:57,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/memory.h' 2024-01-19 03:06:57,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/meson.build' 2024-01-19 03:06:57,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ndbm.h' 2024-01-19 03:06:57,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/newlib.h' 2024-01-19 03:06:57,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/paths.h' 2024-01-19 03:06:57,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/picotls.h' 2024-01-19 03:06:57,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/pwd.h' 2024-01-19 03:06:57,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/regdef.h' 2024-01-19 03:06:57,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/regex.h' 2024-01-19 03:06:57,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sched.h' 2024-01-19 03:06:57,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/search.h' 2024-01-19 03:06:57,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/setjmp.h' 2024-01-19 03:06:57,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/signal.h' 2024-01-19 03:06:57,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/spawn.h' 2024-01-19 03:06:57,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/stdint.h' 2024-01-19 03:06:57,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/stdlib.h' 2024-01-19 03:06:57,429 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/string.h' 2024-01-19 03:06:57,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/strings.h' 2024-01-19 03:06:57,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/tar.h' 2024-01-19 03:06:57,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/termios.h' 2024-01-19 03:06:57,432 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/threads.h' 2024-01-19 03:06:57,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/time.h' 2024-01-19 03:06:57,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/unctrl.h' 2024-01-19 03:06:57,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/unistd.h' 2024-01-19 03:06:57,436 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/utime.h' 2024-01-19 03:06:57,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/utmp.h' 2024-01-19 03:06:57,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wchar.h' 2024-01-19 03:06:57,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wctype.h' 2024-01-19 03:06:57,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wordexp.h' 2024-01-19 03:06:57,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt' 2024-01-19 03:06:57,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h' 2024-01-19 03:06:57,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build' 2024-01-19 03:06:57,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h' 2024-01-19 03:06:57,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt' 2024-01-19 03:06:57,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h' 2024-01-19 03:06:57,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h' 2024-01-19 03:06:57,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h' 2024-01-19 03:06:57,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h' 2024-01-19 03:06:57,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h' 2024-01-19 03:06:57,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h' 2024-01-19 03:06:57,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h' 2024-01-19 03:06:57,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h' 2024-01-19 03:06:57,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h' 2024-01-19 03:06:57,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h' 2024-01-19 03:06:57,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h' 2024-01-19 03:06:57,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/math.h' 2024-01-19 03:06:57,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build' 2024-01-19 03:06:57,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/param.h' 2024-01-19 03:06:57,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h' 2024-01-19 03:06:57,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h' 2024-01-19 03:06:57,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h' 2024-01-19 03:06:57,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h' 2024-01-19 03:06:57,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/time.h' 2024-01-19 03:06:57,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/types.h' 2024-01-19 03:06:57,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt' 2024-01-19 03:06:57,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build' 2024-01-19 03:06:57,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h' 2024-01-19 03:06:57,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h' 2024-01-19 03:06:57,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt' 2024-01-19 03:06:57,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build' 2024-01-19 03:06:57,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h' 2024-01-19 03:06:57,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h' 2024-01-19 03:06:57,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h' 2024-01-19 03:06:57,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h' 2024-01-19 03:06:57,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h' 2024-01-19 03:06:57,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h' 2024-01-19 03:06:57,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h' 2024-01-19 03:06:57,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt' 2024-01-19 03:06:57,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h' 2024-01-19 03:06:57,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h' 2024-01-19 03:06:57,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h' 2024-01-19 03:06:57,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h' 2024-01-19 03:06:57,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h' 2024-01-19 03:06:57,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h' 2024-01-19 03:06:57,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h' 2024-01-19 03:06:57,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h' 2024-01-19 03:06:57,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h' 2024-01-19 03:06:57,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h' 2024-01-19 03:06:57,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h' 2024-01-19 03:06:57,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/config.h' 2024-01-19 03:06:57,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h' 2024-01-19 03:06:57,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h' 2024-01-19 03:06:57,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h' 2024-01-19 03:06:57,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h' 2024-01-19 03:06:57,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h' 2024-01-19 03:06:57,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/features.h' 2024-01-19 03:06:57,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h' 2024-01-19 03:06:57,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/file.h' 2024-01-19 03:06:57,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h' 2024-01-19 03:06:57,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h' 2024-01-19 03:06:57,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build' 2024-01-19 03:06:57,499 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/param.h' 2024-01-19 03:06:57,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h' 2024-01-19 03:06:57,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h' 2024-01-19 03:06:57,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h' 2024-01-19 03:06:57,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/select.h' 2024-01-19 03:06:57,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h' 2024-01-19 03:06:57,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h' 2024-01-19 03:06:57,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/string.h' 2024-01-19 03:06:57,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h' 2024-01-19 03:06:57,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/time.h' 2024-01-19 03:06:57,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h' 2024-01-19 03:06:57,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/times.h' 2024-01-19 03:06:57,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h' 2024-01-19 03:06:57,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h' 2024-01-19 03:06:57,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/types.h' 2024-01-19 03:06:57,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h' 2024-01-19 03:06:57,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h' 2024-01-19 03:06:57,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h' 2024-01-19 03:06:57,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt' 2024-01-19 03:06:57,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c' 2024-01-19 03:06:57,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c' 2024-01-19 03:06:57,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lctype.c' 2024-01-19 03:06:57,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c' 2024-01-19 03:06:57,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c' 2024-01-19 03:06:57,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c' 2024-01-19 03:06:57,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/locale.c' 2024-01-19 03:06:57,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/locale.tex' 2024-01-19 03:06:57,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c' 2024-01-19 03:06:57,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/meson.build' 2024-01-19 03:06:57,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c' 2024-01-19 03:06:57,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3' 2024-01-19 03:06:57,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c' 2024-01-19 03:06:57,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h' 2024-01-19 03:06:57,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c' 2024-01-19 03:06:57,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c' 2024-01-19 03:06:57,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt' 2024-01-19 03:06:57,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt' 2024-01-19 03:06:57,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c' 2024-01-19 03:06:57,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S' 2024-01-19 03:06:57,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c' 2024-01-19 03:06:57,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S' 2024-01-19 03:06:57,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c' 2024-01-19 03:06:57,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S' 2024-01-19 03:06:57,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c' 2024-01-19 03:06:57,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S' 2024-01-19 03:06:57,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c' 2024-01-19 03:06:57,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S' 2024-01-19 03:06:57,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build' 2024-01-19 03:06:57,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c' 2024-01-19 03:06:57,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S' 2024-01-19 03:06:57,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S' 2024-01-19 03:06:57,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c' 2024-01-19 03:06:57,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S' 2024-01-19 03:06:57,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c' 2024-01-19 03:06:57,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S' 2024-01-19 03:06:57,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c' 2024-01-19 03:06:57,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S' 2024-01-19 03:06:57,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c' 2024-01-19 03:06:57,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S' 2024-01-19 03:06:57,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c' 2024-01-19 03:06:57,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S' 2024-01-19 03:06:57,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c' 2024-01-19 03:06:57,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S' 2024-01-19 03:06:57,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c' 2024-01-19 03:06:57,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S' 2024-01-19 03:06:57,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c' 2024-01-19 03:06:57,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S' 2024-01-19 03:06:57,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c' 2024-01-19 03:06:57,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S' 2024-01-19 03:06:57,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt' 2024-01-19 03:06:57,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h' 2024-01-19 03:06:57,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h' 2024-01-19 03:06:57,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h' 2024-01-19 03:06:57,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build' 2024-01-19 03:06:57,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt' 2024-01-19 03:06:57,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h' 2024-01-19 03:06:57,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h' 2024-01-19 03:06:57,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build' 2024-01-19 03:06:57,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c' 2024-01-19 03:06:57,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c' 2024-01-19 03:06:57,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h' 2024-01-19 03:06:57,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c' 2024-01-19 03:06:57,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c' 2024-01-19 03:06:57,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c' 2024-01-19 03:06:57,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c' 2024-01-19 03:06:57,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt' 2024-01-19 03:06:57,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h' 2024-01-19 03:06:57,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S' 2024-01-19 03:06:57,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c' 2024-01-19 03:06:57,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S' 2024-01-19 03:06:57,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S' 2024-01-19 03:06:57,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S' 2024-01-19 03:06:57,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c' 2024-01-19 03:06:57,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S' 2024-01-19 03:06:57,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S' 2024-01-19 03:06:57,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S' 2024-01-19 03:06:57,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c' 2024-01-19 03:06:57,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S' 2024-01-19 03:06:57,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build' 2024-01-19 03:06:57,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S' 2024-01-19 03:06:57,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S' 2024-01-19 03:06:57,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S' 2024-01-19 03:06:57,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c' 2024-01-19 03:06:57,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S' 2024-01-19 03:06:57,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S' 2024-01-19 03:06:57,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c' 2024-01-19 03:06:57,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S' 2024-01-19 03:06:57,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S' 2024-01-19 03:06:57,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S' 2024-01-19 03:06:57,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c' 2024-01-19 03:06:57,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S' 2024-01-19 03:06:57,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S' 2024-01-19 03:06:57,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S' 2024-01-19 03:06:57,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c' 2024-01-19 03:06:57,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S' 2024-01-19 03:06:57,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S' 2024-01-19 03:06:57,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c' 2024-01-19 03:06:57,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S' 2024-01-19 03:06:57,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt' 2024-01-19 03:06:57,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h' 2024-01-19 03:06:57,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S' 2024-01-19 03:06:57,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c' 2024-01-19 03:06:57,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h' 2024-01-19 03:06:57,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c' 2024-01-19 03:06:57,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S' 2024-01-19 03:06:57,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c' 2024-01-19 03:06:57,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S' 2024-01-19 03:06:57,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S' 2024-01-19 03:06:57,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S' 2024-01-19 03:06:57,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c' 2024-01-19 03:06:57,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c' 2024-01-19 03:06:57,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S' 2024-01-19 03:06:57,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S' 2024-01-19 03:06:57,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S' 2024-01-19 03:06:57,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S' 2024-01-19 03:06:57,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c' 2024-01-19 03:06:57,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build' 2024-01-19 03:06:57,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S' 2024-01-19 03:06:57,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S' 2024-01-19 03:06:57,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S' 2024-01-19 03:06:57,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S' 2024-01-19 03:06:57,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S' 2024-01-19 03:06:57,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S' 2024-01-19 03:06:57,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S' 2024-01-19 03:06:57,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S' 2024-01-19 03:06:57,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S' 2024-01-19 03:06:57,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S' 2024-01-19 03:06:57,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S' 2024-01-19 03:06:57,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S' 2024-01-19 03:06:57,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S' 2024-01-19 03:06:57,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S' 2024-01-19 03:06:57,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c' 2024-01-19 03:06:57,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt' 2024-01-19 03:06:57,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h' 2024-01-19 03:06:57,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h' 2024-01-19 03:06:57,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h' 2024-01-19 03:06:57,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build' 2024-01-19 03:06:57,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h' 2024-01-19 03:06:57,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt' 2024-01-19 03:06:57,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h' 2024-01-19 03:06:57,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build' 2024-01-19 03:06:57,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S' 2024-01-19 03:06:57,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S' 2024-01-19 03:06:57,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c' 2024-01-19 03:06:57,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S' 2024-01-19 03:06:57,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h' 2024-01-19 03:06:57,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h' 2024-01-19 03:06:57,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h' 2024-01-19 03:06:57,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c' 2024-01-19 03:06:57,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c' 2024-01-19 03:06:57,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c' 2024-01-19 03:06:57,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c' 2024-01-19 03:06:57,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c' 2024-01-19 03:06:57,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h' 2024-01-19 03:06:57,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h' 2024-01-19 03:06:57,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h' 2024-01-19 03:06:57,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h' 2024-01-19 03:06:57,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c' 2024-01-19 03:06:57,672 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S' 2024-01-19 03:06:57,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h' 2024-01-19 03:06:57,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h' 2024-01-19 03:06:57,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h' 2024-01-19 03:06:57,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build' 2024-01-19 03:06:57,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S' 2024-01-19 03:06:57,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S' 2024-01-19 03:06:57,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S' 2024-01-19 03:06:57,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S' 2024-01-19 03:06:57,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S' 2024-01-19 03:06:57,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S' 2024-01-19 03:06:57,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S' 2024-01-19 03:06:57,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S' 2024-01-19 03:06:57,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S' 2024-01-19 03:06:57,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S' 2024-01-19 03:06:57,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S' 2024-01-19 03:06:57,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S' 2024-01-19 03:06:57,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S' 2024-01-19 03:06:57,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h' 2024-01-19 03:06:57,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S' 2024-01-19 03:06:57,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S' 2024-01-19 03:06:57,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S' 2024-01-19 03:06:57,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S' 2024-01-19 03:06:57,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S' 2024-01-19 03:06:57,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h' 2024-01-19 03:06:57,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S' 2024-01-19 03:06:57,697 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S' 2024-01-19 03:06:57,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c' 2024-01-19 03:06:57,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S' 2024-01-19 03:06:57,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c' 2024-01-19 03:06:57,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c' 2024-01-19 03:06:57,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c' 2024-01-19 03:06:57,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c' 2024-01-19 03:06:57,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S' 2024-01-19 03:06:57,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S' 2024-01-19 03:06:57,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c' 2024-01-19 03:06:57,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h' 2024-01-19 03:06:57,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S' 2024-01-19 03:06:57,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S' 2024-01-19 03:06:57,709 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S' 2024-01-19 03:06:57,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S' 2024-01-19 03:06:57,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s' 2024-01-19 03:06:57,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S' 2024-01-19 03:06:57,713 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S' 2024-01-19 03:06:57,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S' 2024-01-19 03:06:57,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S' 2024-01-19 03:06:57,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S' 2024-01-19 03:06:57,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S' 2024-01-19 03:06:57,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S' 2024-01-19 03:06:57,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S' 2024-01-19 03:06:57,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S' 2024-01-19 03:06:57,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S' 2024-01-19 03:06:57,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S' 2024-01-19 03:06:57,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S' 2024-01-19 03:06:57,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S' 2024-01-19 03:06:57,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S' 2024-01-19 03:06:57,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S' 2024-01-19 03:06:57,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S' 2024-01-19 03:06:57,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S' 2024-01-19 03:06:57,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S' 2024-01-19 03:06:57,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S' 2024-01-19 03:06:57,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S' 2024-01-19 03:06:57,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S' 2024-01-19 03:06:57,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S' 2024-01-19 03:06:57,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S' 2024-01-19 03:06:57,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S' 2024-01-19 03:06:57,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S' 2024-01-19 03:06:57,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S' 2024-01-19 03:06:57,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S' 2024-01-19 03:06:57,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S' 2024-01-19 03:06:57,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S' 2024-01-19 03:06:57,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S' 2024-01-19 03:06:57,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S' 2024-01-19 03:06:57,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S' 2024-01-19 03:06:57,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S' 2024-01-19 03:06:57,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S' 2024-01-19 03:06:57,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S' 2024-01-19 03:06:57,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S' 2024-01-19 03:06:57,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S' 2024-01-19 03:06:57,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S' 2024-01-19 03:06:57,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S' 2024-01-19 03:06:57,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S' 2024-01-19 03:06:57,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S' 2024-01-19 03:06:57,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h' 2024-01-19 03:06:57,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S' 2024-01-19 03:06:57,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S' 2024-01-19 03:06:57,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build' 2024-01-19 03:06:57,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S' 2024-01-19 03:06:57,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c' 2024-01-19 03:06:57,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c' 2024-01-19 03:06:57,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S' 2024-01-19 03:06:57,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S' 2024-01-19 03:06:57,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c' 2024-01-19 03:06:57,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S' 2024-01-19 03:06:57,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h' 2024-01-19 03:06:57,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S' 2024-01-19 03:06:57,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c' 2024-01-19 03:06:57,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c' 2024-01-19 03:06:57,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c' 2024-01-19 03:06:57,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt' 2024-01-19 03:06:57,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S' 2024-01-19 03:06:57,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S' 2024-01-19 03:06:57,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build' 2024-01-19 03:06:57,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S' 2024-01-19 03:06:57,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S' 2024-01-19 03:06:57,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c' 2024-01-19 03:06:57,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c' 2024-01-19 03:06:57,777 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt' 2024-01-19 03:06:57,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h' 2024-01-19 03:06:57,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h' 2024-01-19 03:06:57,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h' 2024-01-19 03:06:57,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build' 2024-01-19 03:06:57,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h' 2024-01-19 03:06:57,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt' 2024-01-19 03:06:57,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h' 2024-01-19 03:06:57,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build' 2024-01-19 03:06:57,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S' 2024-01-19 03:06:57,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S' 2024-01-19 03:06:57,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S' 2024-01-19 03:06:57,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S' 2024-01-19 03:06:57,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S' 2024-01-19 03:06:57,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S' 2024-01-19 03:06:57,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S' 2024-01-19 03:06:57,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S' 2024-01-19 03:06:57,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S' 2024-01-19 03:06:57,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S' 2024-01-19 03:06:57,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S' 2024-01-19 03:06:57,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build' 2024-01-19 03:06:57,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S' 2024-01-19 03:06:57,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S' 2024-01-19 03:06:57,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c' 2024-01-19 03:06:57,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S' 2024-01-19 03:06:57,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S' 2024-01-19 03:06:57,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S' 2024-01-19 03:06:57,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S' 2024-01-19 03:06:57,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S' 2024-01-19 03:06:57,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S' 2024-01-19 03:06:57,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex' 2024-01-19 03:06:57,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S' 2024-01-19 03:06:57,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h' 2024-01-19 03:06:57,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt' 2024-01-19 03:06:57,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build' 2024-01-19 03:06:57,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s' 2024-01-19 03:06:57,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c' 2024-01-19 03:06:57,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c' 2024-01-19 03:06:57,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c' 2024-01-19 03:06:57,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c' 2024-01-19 03:06:57,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c' 2024-01-19 03:06:57,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c' 2024-01-19 03:06:57,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c' 2024-01-19 03:06:57,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c' 2024-01-19 03:06:57,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c' 2024-01-19 03:06:57,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c' 2024-01-19 03:06:57,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c' 2024-01-19 03:06:57,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c' 2024-01-19 03:06:57,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c' 2024-01-19 03:06:57,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c' 2024-01-19 03:06:57,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c' 2024-01-19 03:06:57,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c' 2024-01-19 03:06:57,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S' 2024-01-19 03:06:57,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build' 2024-01-19 03:06:57,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S' 2024-01-19 03:06:57,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h' 2024-01-19 03:06:57,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h' 2024-01-19 03:06:57,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s' 2024-01-19 03:06:57,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt' 2024-01-19 03:06:57,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c' 2024-01-19 03:06:57,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S' 2024-01-19 03:06:57,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c' 2024-01-19 03:06:57,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c' 2024-01-19 03:06:57,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S' 2024-01-19 03:06:57,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S' 2024-01-19 03:06:57,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build' 2024-01-19 03:06:57,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S' 2024-01-19 03:06:57,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S' 2024-01-19 03:06:57,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c' 2024-01-19 03:06:57,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c' 2024-01-19 03:06:57,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt' 2024-01-19 03:06:57,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h' 2024-01-19 03:06:57,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build' 2024-01-19 03:06:57,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt' 2024-01-19 03:06:57,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h' 2024-01-19 03:06:57,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h' 2024-01-19 03:06:57,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build' 2024-01-19 03:06:57,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h' 2024-01-19 03:06:57,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S' 2024-01-19 03:06:57,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S' 2024-01-19 03:06:57,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S' 2024-01-19 03:06:57,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S' 2024-01-19 03:06:57,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S' 2024-01-19 03:06:57,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S' 2024-01-19 03:06:57,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S' 2024-01-19 03:06:57,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S' 2024-01-19 03:06:57,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S' 2024-01-19 03:06:57,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S' 2024-01-19 03:06:57,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S' 2024-01-19 03:06:57,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S' 2024-01-19 03:06:57,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S' 2024-01-19 03:06:57,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S' 2024-01-19 03:06:57,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h' 2024-01-19 03:06:57,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S' 2024-01-19 03:06:57,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S' 2024-01-19 03:06:57,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S' 2024-01-19 03:06:57,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S' 2024-01-19 03:06:57,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S' 2024-01-19 03:06:57,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S' 2024-01-19 03:06:57,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S' 2024-01-19 03:06:57,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt' 2024-01-19 03:06:57,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build' 2024-01-19 03:06:57,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c' 2024-01-19 03:06:57,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S' 2024-01-19 03:06:57,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c' 2024-01-19 03:06:57,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt' 2024-01-19 03:06:57,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build' 2024-01-19 03:06:57,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h' 2024-01-19 03:06:57,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt' 2024-01-19 03:06:57,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h' 2024-01-19 03:06:57,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build' 2024-01-19 03:06:57,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c' 2024-01-19 03:06:57,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h' 2024-01-19 03:06:57,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c' 2024-01-19 03:06:57,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c' 2024-01-19 03:06:57,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c' 2024-01-19 03:06:57,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h' 2024-01-19 03:06:57,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c' 2024-01-19 03:06:57,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c' 2024-01-19 03:06:57,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c' 2024-01-19 03:06:57,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c' 2024-01-19 03:06:57,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c' 2024-01-19 03:06:57,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c' 2024-01-19 03:06:57,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c' 2024-01-19 03:06:57,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c' 2024-01-19 03:06:57,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c' 2024-01-19 03:06:57,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S' 2024-01-19 03:06:57,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S' 2024-01-19 03:06:57,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c' 2024-01-19 03:06:57,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S' 2024-01-19 03:06:57,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c' 2024-01-19 03:06:57,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c' 2024-01-19 03:06:57,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c' 2024-01-19 03:06:57,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c' 2024-01-19 03:06:57,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c' 2024-01-19 03:06:57,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S' 2024-01-19 03:06:57,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c' 2024-01-19 03:06:57,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c' 2024-01-19 03:06:57,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c' 2024-01-19 03:06:57,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c' 2024-01-19 03:06:57,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c' 2024-01-19 03:06:57,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c' 2024-01-19 03:06:57,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c' 2024-01-19 03:06:57,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S' 2024-01-19 03:06:57,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S' 2024-01-19 03:06:57,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c' 2024-01-19 03:06:57,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c' 2024-01-19 03:06:57,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c' 2024-01-19 03:06:57,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c' 2024-01-19 03:06:57,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c' 2024-01-19 03:06:57,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c' 2024-01-19 03:06:57,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c' 2024-01-19 03:06:57,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c' 2024-01-19 03:06:57,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c' 2024-01-19 03:06:57,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c' 2024-01-19 03:06:57,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls' 2024-01-19 03:06:57,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c' 2024-01-19 03:06:57,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c' 2024-01-19 03:06:57,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c' 2024-01-19 03:06:57,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c' 2024-01-19 03:06:57,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c' 2024-01-19 03:06:57,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c' 2024-01-19 03:06:57,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c' 2024-01-19 03:06:57,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S' 2024-01-19 03:06:57,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c' 2024-01-19 03:06:57,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c' 2024-01-19 03:06:57,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c' 2024-01-19 03:06:57,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c' 2024-01-19 03:06:57,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c' 2024-01-19 03:06:57,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c' 2024-01-19 03:06:57,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c' 2024-01-19 03:06:57,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c' 2024-01-19 03:06:57,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c' 2024-01-19 03:06:57,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c' 2024-01-19 03:06:57,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S' 2024-01-19 03:06:57,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c' 2024-01-19 03:06:57,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S' 2024-01-19 03:06:57,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c' 2024-01-19 03:06:57,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S' 2024-01-19 03:06:57,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S' 2024-01-19 03:06:57,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c' 2024-01-19 03:06:57,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S' 2024-01-19 03:06:57,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S' 2024-01-19 03:06:57,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S' 2024-01-19 03:06:57,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c' 2024-01-19 03:06:57,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S' 2024-01-19 03:06:57,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c' 2024-01-19 03:06:57,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c' 2024-01-19 03:06:57,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c' 2024-01-19 03:06:57,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S' 2024-01-19 03:06:57,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c' 2024-01-19 03:06:57,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h' 2024-01-19 03:06:57,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c' 2024-01-19 03:06:57,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c' 2024-01-19 03:06:57,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c' 2024-01-19 03:06:57,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c' 2024-01-19 03:06:57,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S' 2024-01-19 03:06:57,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S' 2024-01-19 03:06:57,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c' 2024-01-19 03:06:57,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h' 2024-01-19 03:06:57,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c' 2024-01-19 03:06:57,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c' 2024-01-19 03:06:57,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c' 2024-01-19 03:06:57,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c' 2024-01-19 03:06:57,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c' 2024-01-19 03:06:57,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c' 2024-01-19 03:06:57,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c' 2024-01-19 03:06:57,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h' 2024-01-19 03:06:57,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c' 2024-01-19 03:06:57,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c' 2024-01-19 03:06:57,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c' 2024-01-19 03:06:57,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c' 2024-01-19 03:06:57,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c' 2024-01-19 03:06:57,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c' 2024-01-19 03:06:57,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c' 2024-01-19 03:06:57,981 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c' 2024-01-19 03:06:57,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h' 2024-01-19 03:06:57,983 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c' 2024-01-19 03:06:57,984 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c' 2024-01-19 03:06:57,985 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c' 2024-01-19 03:06:57,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c' 2024-01-19 03:06:57,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c' 2024-01-19 03:06:57,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c' 2024-01-19 03:06:57,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c' 2024-01-19 03:06:57,989 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c' 2024-01-19 03:06:57,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c' 2024-01-19 03:06:57,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c' 2024-01-19 03:06:57,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c' 2024-01-19 03:06:57,993 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def' 2024-01-19 03:06:57,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c' 2024-01-19 03:06:57,995 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c' 2024-01-19 03:06:57,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c' 2024-01-19 03:06:57,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c' 2024-01-19 03:06:57,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h' 2024-01-19 03:06:57,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c' 2024-01-19 03:06:57,999 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c' 2024-01-19 03:06:58,000 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c' 2024-01-19 03:06:58,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c' 2024-01-19 03:06:58,002 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c' 2024-01-19 03:06:58,003 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c' 2024-01-19 03:06:58,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c' 2024-01-19 03:06:58,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c' 2024-01-19 03:06:58,005 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c' 2024-01-19 03:06:58,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c' 2024-01-19 03:06:58,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c' 2024-01-19 03:06:58,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c' 2024-01-19 03:06:58,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c' 2024-01-19 03:06:58,010 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c' 2024-01-19 03:06:58,011 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c' 2024-01-19 03:06:58,011 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c' 2024-01-19 03:06:58,012 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h' 2024-01-19 03:06:58,013 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h' 2024-01-19 03:06:58,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h' 2024-01-19 03:06:58,015 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h' 2024-01-19 03:06:58,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h' 2024-01-19 03:06:58,017 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h' 2024-01-19 03:06:58,018 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h' 2024-01-19 03:06:58,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h' 2024-01-19 03:06:58,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h' 2024-01-19 03:06:58,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h' 2024-01-19 03:06:58,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h' 2024-01-19 03:06:58,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h' 2024-01-19 03:06:58,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h' 2024-01-19 03:06:58,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h' 2024-01-19 03:06:58,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S' 2024-01-19 03:06:58,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S' 2024-01-19 03:06:58,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S' 2024-01-19 03:06:58,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S' 2024-01-19 03:06:58,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c' 2024-01-19 03:06:58,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h' 2024-01-19 03:06:58,032 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c' 2024-01-19 03:06:58,033 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c' 2024-01-19 03:06:58,034 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h' 2024-01-19 03:06:58,035 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S' 2024-01-19 03:06:58,036 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c' 2024-01-19 03:06:58,037 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c' 2024-01-19 03:06:58,038 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S' 2024-01-19 03:06:58,039 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c' 2024-01-19 03:06:58,040 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S' 2024-01-19 03:06:58,040 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S' 2024-01-19 03:06:58,041 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S' 2024-01-19 03:06:58,042 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S' 2024-01-19 03:06:58,043 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt' 2024-01-19 03:06:58,044 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h' 2024-01-19 03:06:58,045 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S' 2024-01-19 03:06:58,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S' 2024-01-19 03:06:58,047 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c' 2024-01-19 03:06:58,048 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S' 2024-01-19 03:06:58,049 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S' 2024-01-19 03:06:58,049 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c' 2024-01-19 03:06:58,050 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S' 2024-01-19 03:06:58,051 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S' 2024-01-19 03:06:58,052 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S' 2024-01-19 03:06:58,053 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S' 2024-01-19 03:06:58,054 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S' 2024-01-19 03:06:58,055 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c' 2024-01-19 03:06:58,056 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S' 2024-01-19 03:06:58,057 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S' 2024-01-19 03:06:58,057 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S' 2024-01-19 03:06:58,058 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build' 2024-01-19 03:06:58,059 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S' 2024-01-19 03:06:58,060 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S' 2024-01-19 03:06:58,061 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S' 2024-01-19 03:06:58,062 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S' 2024-01-19 03:06:58,063 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S' 2024-01-19 03:06:58,064 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c' 2024-01-19 03:06:58,064 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S' 2024-01-19 03:06:58,065 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S' 2024-01-19 03:06:58,066 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c' 2024-01-19 03:06:58,067 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h' 2024-01-19 03:06:58,068 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt' 2024-01-19 03:06:58,069 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h' 2024-01-19 03:06:58,070 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build' 2024-01-19 03:06:58,071 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt' 2024-01-19 03:06:58,072 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h' 2024-01-19 03:06:58,073 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build' 2024-01-19 03:06:58,074 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c' 2024-01-19 03:06:58,075 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c' 2024-01-19 03:06:58,076 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S' 2024-01-19 03:06:58,077 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c' 2024-01-19 03:06:58,078 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c' 2024-01-19 03:06:58,078 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c' 2024-01-19 03:06:58,079 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c' 2024-01-19 03:06:58,080 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c' 2024-01-19 03:06:58,081 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c' 2024-01-19 03:06:58,082 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c' 2024-01-19 03:06:58,083 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c' 2024-01-19 03:06:58,084 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c' 2024-01-19 03:06:58,084 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c' 2024-01-19 03:06:58,085 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c' 2024-01-19 03:06:58,086 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S' 2024-01-19 03:06:58,087 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c' 2024-01-19 03:06:58,088 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c' 2024-01-19 03:06:58,089 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt' 2024-01-19 03:06:58,090 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S' 2024-01-19 03:06:58,091 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S' 2024-01-19 03:06:58,092 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build' 2024-01-19 03:06:58,093 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S' 2024-01-19 03:06:58,094 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S' 2024-01-19 03:06:58,095 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S' 2024-01-19 03:06:58,096 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S' 2024-01-19 03:06:58,097 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S' 2024-01-19 03:06:58,098 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h' 2024-01-19 03:06:58,099 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex' 2024-01-19 03:06:58,100 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt' 2024-01-19 03:06:58,101 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h' 2024-01-19 03:06:58,102 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build' 2024-01-19 03:06:58,103 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt' 2024-01-19 03:06:58,104 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h' 2024-01-19 03:06:58,105 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build' 2024-01-19 03:06:58,106 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h' 2024-01-19 03:06:58,107 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S' 2024-01-19 03:06:58,108 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S' 2024-01-19 03:06:58,109 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S' 2024-01-19 03:06:58,110 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S' 2024-01-19 03:06:58,111 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S' 2024-01-19 03:06:58,112 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt' 2024-01-19 03:06:58,113 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c' 2024-01-19 03:06:58,114 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/ffs.c' 2024-01-19 03:06:58,114 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/fini.c' 2024-01-19 03:06:58,115 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/init.c' 2024-01-19 03:06:58,116 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/lock.c' 2024-01-19 03:06:58,117 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/meson.build' 2024-01-19 03:06:58,118 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/misc.tex' 2024-01-19 03:06:58,119 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c' 2024-01-19 03:06:58,120 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt' 2024-01-19 03:06:58,121 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c' 2024-01-19 03:06:58,122 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c' 2024-01-19 03:06:58,123 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c' 2024-01-19 03:06:58,124 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/meson.build' 2024-01-19 03:06:58,124 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c' 2024-01-19 03:06:58,125 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt' 2024-01-19 03:06:58,126 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build' 2024-01-19 03:06:58,127 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c' 2024-01-19 03:06:58,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt' 2024-01-19 03:06:58,129 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build' 2024-01-19 03:06:58,130 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c' 2024-01-19 03:06:58,131 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt' 2024-01-19 03:06:58,132 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h' 2024-01-19 03:06:58,133 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c' 2024-01-19 03:06:58,134 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build' 2024-01-19 03:06:58,135 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S' 2024-01-19 03:06:58,136 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c' 2024-01-19 03:06:58,137 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt' 2024-01-19 03:06:58,138 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build' 2024-01-19 03:06:58,139 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c' 2024-01-19 03:06:58,140 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt' 2024-01-19 03:06:58,140 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build' 2024-01-19 03:06:58,141 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c' 2024-01-19 03:06:58,142 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt' 2024-01-19 03:06:58,143 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/basename.c' 2024-01-19 03:06:58,144 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/cclass.h' 2024-01-19 03:06:58,145 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/cname.h' 2024-01-19 03:06:58,146 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collate.c' 2024-01-19 03:06:58,147 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collate.h' 2024-01-19 03:06:58,148 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c' 2024-01-19 03:06:58,149 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/dirname.c' 2024-01-19 03:06:58,150 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/engine.c' 2024-01-19 03:06:58,151 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c' 2024-01-19 03:06:58,152 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/ftw.c' 2024-01-19 03:06:58,153 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/meson.build' 2024-01-19 03:06:58,154 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/namespace.h' 2024-01-19 03:06:58,155 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/nftw.c' 2024-01-19 03:06:58,156 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c' 2024-01-19 03:06:58,158 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regerror.c' 2024-01-19 03:06:58,159 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regex2.h' 2024-01-19 03:06:58,160 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regexec.c' 2024-01-19 03:06:58,161 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regfree.c' 2024-01-19 03:06:58,162 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/rune.h' 2024-01-19 03:06:58,163 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/runetype.h' 2024-01-19 03:06:58,164 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h' 2024-01-19 03:06:58,164 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h' 2024-01-19 03:06:58,165 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/utils.h' 2024-01-19 03:06:58,166 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/meson.build' 2024-01-19 03:06:58,167 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/reent.c' 2024-01-19 03:06:58,168 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/reent.tex' 2024-01-19 03:06:58,169 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt' 2024-01-19 03:06:58,170 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c' 2024-01-19 03:06:58,171 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/bsearch.c' 2024-01-19 03:06:58,172 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/db_local.h' 2024-01-19 03:06:58,173 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/extern.h' 2024-01-19 03:06:58,174 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash.c' 2024-01-19 03:06:58,175 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash.h' 2024-01-19 03:06:58,176 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c' 2024-01-19 03:06:58,178 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c' 2024-01-19 03:06:58,179 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_func.c' 2024-01-19 03:06:58,180 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c' 2024-01-19 03:06:58,181 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_page.c' 2024-01-19 03:06:58,182 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate.3' 2024-01-19 03:06:58,183 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate.c' 2024-01-19 03:06:58,184 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c' 2024-01-19 03:06:58,185 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/meson.build' 2024-01-19 03:06:58,186 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/ndbm.c' 2024-01-19 03:06:58,186 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/page.h' 2024-01-19 03:06:58,187 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/qsort.c' 2024-01-19 03:06:58,188 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c' 2024-01-19 03:06:58,189 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tdelete.c' 2024-01-19 03:06:58,190 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c' 2024-01-19 03:06:58,191 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tfind.c' 2024-01-19 03:06:58,192 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tsearch.3' 2024-01-19 03:06:58,193 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tsearch.c' 2024-01-19 03:06:58,194 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/twalk.c' 2024-01-19 03:06:58,195 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt' 2024-01-19 03:06:58,196 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/meson.build' 2024-01-19 03:06:58,197 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/psignal.c' 2024-01-19 03:06:58,198 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/raise.c' 2024-01-19 03:06:58,199 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c' 2024-01-19 03:06:58,200 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/signal.c' 2024-01-19 03:06:58,201 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/signal.tex' 2024-01-19 03:06:58,202 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt' 2024-01-19 03:06:58,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c' 2024-01-19 03:06:58,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c' 2024-01-19 03:06:58,204 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c' 2024-01-19 03:06:58,205 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c' 2024-01-19 03:06:58,206 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c' 2024-01-19 03:06:58,207 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c' 2024-01-19 03:06:58,208 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/meson.build' 2024-01-19 03:06:58,209 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c' 2024-01-19 03:06:58,210 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c' 2024-01-19 03:06:58,211 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex' 2024-01-19 03:06:58,211 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c' 2024-01-19 03:06:58,212 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c' 2024-01-19 03:06:58,214 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c' 2024-01-19 03:06:58,215 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c' 2024-01-19 03:06:58,216 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c' 2024-01-19 03:06:58,217 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c' 2024-01-19 03:06:58,218 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c' 2024-01-19 03:06:58,218 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c' 2024-01-19 03:06:58,219 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c' 2024-01-19 03:06:58,221 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c' 2024-01-19 03:06:58,222 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c' 2024-01-19 03:06:58,223 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c' 2024-01-19 03:06:58,224 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c' 2024-01-19 03:06:58,225 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c' 2024-01-19 03:06:58,226 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c' 2024-01-19 03:06:58,227 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c' 2024-01-19 03:06:58,227 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c' 2024-01-19 03:06:58,228 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c' 2024-01-19 03:06:58,229 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c' 2024-01-19 03:06:58,230 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c' 2024-01-19 03:06:58,231 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/feof.c' 2024-01-19 03:06:58,232 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c' 2024-01-19 03:06:58,233 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c' 2024-01-19 03:06:58,234 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c' 2024-01-19 03:06:58,235 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c' 2024-01-19 03:06:58,236 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c' 2024-01-19 03:06:58,237 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c' 2024-01-19 03:06:58,237 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c' 2024-01-19 03:06:58,238 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c' 2024-01-19 03:06:58,239 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c' 2024-01-19 03:06:58,240 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c' 2024-01-19 03:06:58,241 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c' 2024-01-19 03:06:58,242 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c' 2024-01-19 03:06:58,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c' 2024-01-19 03:06:58,244 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c' 2024-01-19 03:06:58,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c' 2024-01-19 03:06:58,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c' 2024-01-19 03:06:58,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c' 2024-01-19 03:06:58,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c' 2024-01-19 03:06:58,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c' 2024-01-19 03:06:58,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/flags.c' 2024-01-19 03:06:58,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h' 2024-01-19 03:06:58,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c' 2024-01-19 03:06:58,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c' 2024-01-19 03:06:58,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c' 2024-01-19 03:06:58,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c' 2024-01-19 03:06:58,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c' 2024-01-19 03:06:58,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c' 2024-01-19 03:06:58,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c' 2024-01-19 03:06:58,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c' 2024-01-19 03:06:58,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c' 2024-01-19 03:06:58,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c' 2024-01-19 03:06:58,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c' 2024-01-19 03:06:58,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c' 2024-01-19 03:06:58,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c' 2024-01-19 03:06:58,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fread.c' 2024-01-19 03:06:58,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c' 2024-01-19 03:06:58,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c' 2024-01-19 03:06:58,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c' 2024-01-19 03:06:58,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c' 2024-01-19 03:06:58,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c' 2024-01-19 03:06:58,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c' 2024-01-19 03:06:58,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c' 2024-01-19 03:06:58,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c' 2024-01-19 03:06:58,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c' 2024-01-19 03:06:58,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c' 2024-01-19 03:06:58,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c' 2024-01-19 03:06:58,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h' 2024-01-19 03:06:58,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c' 2024-01-19 03:06:58,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c' 2024-01-19 03:06:58,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c' 2024-01-19 03:06:58,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c' 2024-01-19 03:06:58,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c' 2024-01-19 03:06:58,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c' 2024-01-19 03:06:58,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getc.c' 2024-01-19 03:06:58,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c' 2024-01-19 03:06:58,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c' 2024-01-19 03:06:58,283 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c' 2024-01-19 03:06:58,284 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c' 2024-01-19 03:06:58,285 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getline.c' 2024-01-19 03:06:58,286 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/gets.c' 2024-01-19 03:06:58,287 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getw.c' 2024-01-19 03:06:58,288 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c' 2024-01-19 03:06:58,289 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c' 2024-01-19 03:06:58,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c' 2024-01-19 03:06:58,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c' 2024-01-19 03:06:58,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c' 2024-01-19 03:06:58,292 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c' 2024-01-19 03:06:58,293 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/local.h' 2024-01-19 03:06:58,294 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c' 2024-01-19 03:06:58,295 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/meson.build' 2024-01-19 03:06:58,296 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c' 2024-01-19 03:06:58,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c' 2024-01-19 03:06:58,298 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c' 2024-01-19 03:06:58,299 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c' 2024-01-19 03:06:58,300 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c' 2024-01-19 03:06:58,301 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c' 2024-01-19 03:06:58,302 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h' 2024-01-19 03:06:58,303 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c' 2024-01-19 03:06:58,304 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c' 2024-01-19 03:06:58,305 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c' 2024-01-19 03:06:58,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h' 2024-01-19 03:06:58,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c' 2024-01-19 03:06:58,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/perror.c' 2024-01-19 03:06:58,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/printf.c' 2024-01-19 03:06:58,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putc.c' 2024-01-19 03:06:58,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c' 2024-01-19 03:06:58,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c' 2024-01-19 03:06:58,313 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c' 2024-01-19 03:06:58,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/puts.c' 2024-01-19 03:06:58,315 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putw.c' 2024-01-19 03:06:58,316 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c' 2024-01-19 03:06:58,317 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c' 2024-01-19 03:06:58,317 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c' 2024-01-19 03:06:58,318 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c' 2024-01-19 03:06:58,319 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/refill.c' 2024-01-19 03:06:58,320 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/remove.c' 2024-01-19 03:06:58,321 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rename.c' 2024-01-19 03:06:58,322 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c' 2024-01-19 03:06:58,323 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rget.c' 2024-01-19 03:06:58,324 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c' 2024-01-19 03:06:58,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c' 2024-01-19 03:06:58,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c' 2024-01-19 03:06:58,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c' 2024-01-19 03:06:58,327 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c' 2024-01-19 03:06:58,328 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c' 2024-01-19 03:06:58,329 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c' 2024-01-19 03:06:58,330 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c' 2024-01-19 03:06:58,331 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c' 2024-01-19 03:06:58,332 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c' 2024-01-19 03:06:58,333 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c' 2024-01-19 03:06:58,334 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c' 2024-01-19 03:06:58,335 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c' 2024-01-19 03:06:58,336 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h' 2024-01-19 03:06:58,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex' 2024-01-19 03:06:58,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c' 2024-01-19 03:06:58,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h' 2024-01-19 03:06:58,340 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c' 2024-01-19 03:06:58,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c' 2024-01-19 03:06:58,342 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c' 2024-01-19 03:06:58,343 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c' 2024-01-19 03:06:58,344 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c' 2024-01-19 03:06:58,345 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c' 2024-01-19 03:06:58,346 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c' 2024-01-19 03:06:58,347 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c' 2024-01-19 03:06:58,348 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c' 2024-01-19 03:06:58,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c' 2024-01-19 03:06:58,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c' 2024-01-19 03:06:58,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c' 2024-01-19 03:06:58,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c' 2024-01-19 03:06:58,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c' 2024-01-19 03:06:58,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c' 2024-01-19 03:06:58,355 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c' 2024-01-19 03:06:58,355 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c' 2024-01-19 03:06:58,356 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c' 2024-01-19 03:06:58,357 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c' 2024-01-19 03:06:58,358 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c' 2024-01-19 03:06:58,359 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h' 2024-01-19 03:06:58,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c' 2024-01-19 03:06:58,361 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c' 2024-01-19 03:06:58,362 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c' 2024-01-19 03:06:58,363 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c' 2024-01-19 03:06:58,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c' 2024-01-19 03:06:58,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c' 2024-01-19 03:06:58,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c' 2024-01-19 03:06:58,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c' 2024-01-19 03:06:58,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c' 2024-01-19 03:06:58,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c' 2024-01-19 03:06:58,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c' 2024-01-19 03:06:58,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c' 2024-01-19 03:06:58,375 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c' 2024-01-19 03:06:58,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c' 2024-01-19 03:06:58,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c' 2024-01-19 03:06:58,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c' 2024-01-19 03:06:58,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c' 2024-01-19 03:06:58,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c' 2024-01-19 03:06:58,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c' 2024-01-19 03:06:58,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c' 2024-01-19 03:06:58,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c' 2024-01-19 03:06:58,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c' 2024-01-19 03:06:58,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c' 2024-01-19 03:06:58,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c' 2024-01-19 03:06:58,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c' 2024-01-19 03:06:58,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c' 2024-01-19 03:06:58,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build' 2024-01-19 03:06:58,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h' 2024-01-19 03:06:58,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c' 2024-01-19 03:06:58,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c' 2024-01-19 03:06:58,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c' 2024-01-19 03:06:58,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c' 2024-01-19 03:06:58,393 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c' 2024-01-19 03:06:58,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c' 2024-01-19 03:06:58,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c' 2024-01-19 03:06:58,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build' 2024-01-19 03:06:58,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c' 2024-01-19 03:06:58,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex' 2024-01-19 03:06:58,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c' 2024-01-19 03:06:58,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt' 2024-01-19 03:06:58,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c' 2024-01-19 03:06:58,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c' 2024-01-19 03:06:58,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c' 2024-01-19 03:06:58,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c' 2024-01-19 03:06:58,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c' 2024-01-19 03:06:58,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c' 2024-01-19 03:06:58,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c' 2024-01-19 03:06:58,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c' 2024-01-19 03:06:58,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h' 2024-01-19 03:06:58,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c' 2024-01-19 03:06:58,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c' 2024-01-19 03:06:58,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c' 2024-01-19 03:06:58,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h' 2024-01-19 03:06:58,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c' 2024-01-19 03:06:58,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c' 2024-01-19 03:06:58,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c' 2024-01-19 03:06:58,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c' 2024-01-19 03:06:58,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c' 2024-01-19 03:06:58,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c' 2024-01-19 03:06:58,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c' 2024-01-19 03:06:58,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h' 2024-01-19 03:06:58,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c' 2024-01-19 03:06:58,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c' 2024-01-19 03:06:58,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/div.c' 2024-01-19 03:06:58,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c' 2024-01-19 03:06:58,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c' 2024-01-19 03:06:58,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c' 2024-01-19 03:06:58,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c' 2024-01-19 03:06:58,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c' 2024-01-19 03:06:58,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c' 2024-01-19 03:06:58,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c' 2024-01-19 03:06:58,429 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c' 2024-01-19 03:06:58,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c' 2024-01-19 03:06:58,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c' 2024-01-19 03:06:58,432 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c' 2024-01-19 03:06:58,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c' 2024-01-19 03:06:58,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c' 2024-01-19 03:06:58,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c' 2024-01-19 03:06:58,436 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h' 2024-01-19 03:06:58,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h' 2024-01-19 03:06:58,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c' 2024-01-19 03:06:58,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c' 2024-01-19 03:06:58,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c' 2024-01-19 03:06:58,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3' 2024-01-19 03:06:58,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c' 2024-01-19 03:06:58,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c' 2024-01-19 03:06:58,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c' 2024-01-19 03:06:58,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c' 2024-01-19 03:06:58,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c' 2024-01-19 03:06:58,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c' 2024-01-19 03:06:58,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c' 2024-01-19 03:06:58,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c' 2024-01-19 03:06:58,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c' 2024-01-19 03:06:58,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c' 2024-01-19 03:06:58,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c' 2024-01-19 03:06:58,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c' 2024-01-19 03:06:58,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/local.h' 2024-01-19 03:06:58,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c' 2024-01-19 03:06:58,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c' 2024-01-19 03:06:58,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c' 2024-01-19 03:06:58,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c' 2024-01-19 03:06:58,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c' 2024-01-19 03:06:58,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c' 2024-01-19 03:06:58,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c' 2024-01-19 03:06:58,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c' 2024-01-19 03:06:58,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c' 2024-01-19 03:06:58,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c' 2024-01-19 03:06:58,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c' 2024-01-19 03:06:58,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c' 2024-01-19 03:06:58,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c' 2024-01-19 03:06:58,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c' 2024-01-19 03:06:58,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h' 2024-01-19 03:06:58,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c' 2024-01-19 03:06:58,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c' 2024-01-19 03:06:58,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c' 2024-01-19 03:06:58,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c' 2024-01-19 03:06:58,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c' 2024-01-19 03:06:58,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c' 2024-01-19 03:06:58,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c' 2024-01-19 03:06:58,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c' 2024-01-19 03:06:58,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c' 2024-01-19 03:06:58,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build' 2024-01-19 03:06:58,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c' 2024-01-19 03:06:58,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h' 2024-01-19 03:06:58,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c' 2024-01-19 03:06:58,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c' 2024-01-19 03:06:58,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c' 2024-01-19 03:06:58,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h' 2024-01-19 03:06:58,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c' 2024-01-19 03:06:58,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c' 2024-01-19 03:06:58,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c' 2024-01-19 03:06:58,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c' 2024-01-19 03:06:58,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c' 2024-01-19 03:06:58,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c' 2024-01-19 03:06:58,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c' 2024-01-19 03:06:58,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c' 2024-01-19 03:06:58,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c' 2024-01-19 03:06:58,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c' 2024-01-19 03:06:58,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c' 2024-01-19 03:06:58,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c' 2024-01-19 03:06:58,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c' 2024-01-19 03:06:58,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c' 2024-01-19 03:06:58,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c' 2024-01-19 03:06:58,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c' 2024-01-19 03:06:58,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c' 2024-01-19 03:06:58,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c' 2024-01-19 03:06:58,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h' 2024-01-19 03:06:58,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c' 2024-01-19 03:06:58,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c' 2024-01-19 03:06:58,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c' 2024-01-19 03:06:58,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c' 2024-01-19 03:06:58,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h' 2024-01-19 03:06:58,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c' 2024-01-19 03:06:58,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c' 2024-01-19 03:06:58,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c' 2024-01-19 03:06:58,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c' 2024-01-19 03:06:58,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h' 2024-01-19 03:06:58,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c' 2024-01-19 03:06:58,513 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/random.c' 2024-01-19 03:06:58,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c' 2024-01-19 03:06:58,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c' 2024-01-19 03:06:58,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c' 2024-01-19 03:06:58,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c' 2024-01-19 03:06:58,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c' 2024-01-19 03:06:58,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c' 2024-01-19 03:06:58,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c' 2024-01-19 03:06:58,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c' 2024-01-19 03:06:58,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c' 2024-01-19 03:06:58,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/std.h' 2024-01-19 03:06:58,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex' 2024-01-19 03:06:58,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c' 2024-01-19 03:06:58,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c' 2024-01-19 03:06:58,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c' 2024-01-19 03:06:58,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c' 2024-01-19 03:06:58,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c' 2024-01-19 03:06:58,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c' 2024-01-19 03:06:58,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c' 2024-01-19 03:06:58,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c' 2024-01-19 03:06:58,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c' 2024-01-19 03:06:58,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c' 2024-01-19 03:06:58,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/system.c' 2024-01-19 03:06:58,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c' 2024-01-19 03:06:58,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c' 2024-01-19 03:06:58,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c' 2024-01-19 03:06:58,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c' 2024-01-19 03:06:58,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c' 2024-01-19 03:06:58,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c' 2024-01-19 03:06:58,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c' 2024-01-19 03:06:58,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c' 2024-01-19 03:06:58,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c' 2024-01-19 03:06:58,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c' 2024-01-19 03:06:58,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c' 2024-01-19 03:06:58,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c' 2024-01-19 03:06:58,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c' 2024-01-19 03:06:58,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c' 2024-01-19 03:06:58,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c' 2024-01-19 03:06:58,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c' 2024-01-19 03:06:58,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c' 2024-01-19 03:06:58,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c' 2024-01-19 03:06:58,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt' 2024-01-19 03:06:58,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A' 2024-01-19 03:06:58,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t' 2024-01-19 03:06:58,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bcmp.c' 2024-01-19 03:06:58,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bcopy.c' 2024-01-19 03:06:58,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bzero.c' 2024-01-19 03:06:58,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/combining.t' 2024-01-19 03:06:58,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c' 2024-01-19 03:06:58,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ffsl.c' 2024-01-19 03:06:58,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ffsll.c' 2024-01-19 03:06:58,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/fls.c' 2024-01-19 03:06:58,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/flsl.c' 2024-01-19 03:06:58,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/flsll.c' 2024-01-19 03:06:58,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c' 2024-01-19 03:06:58,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/index.c' 2024-01-19 03:06:58,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/local.h' 2024-01-19 03:06:58,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memccpy.c' 2024-01-19 03:06:58,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memchr.c' 2024-01-19 03:06:58,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memcmp.c' 2024-01-19 03:06:58,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memcpy.c' 2024-01-19 03:06:58,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memmem.c' 2024-01-19 03:06:58,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memmove.c' 2024-01-19 03:06:58,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c' 2024-01-19 03:06:58,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memrchr.c' 2024-01-19 03:06:58,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memset.c' 2024-01-19 03:06:58,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/meson.build' 2024-01-19 03:06:58,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkunidata' 2024-01-19 03:06:58,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkwide' 2024-01-19 03:06:58,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkwidthA' 2024-01-19 03:06:58,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c' 2024-01-19 03:06:58,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/rindex.c' 2024-01-19 03:06:58,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c' 2024-01-19 03:06:58,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c' 2024-01-19 03:06:58,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h' 2024-01-19 03:06:58,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c' 2024-01-19 03:06:58,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c' 2024-01-19 03:06:58,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c' 2024-01-19 03:06:58,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcat.c' 2024-01-19 03:06:58,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strchr.c' 2024-01-19 03:06:58,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c' 2024-01-19 03:06:58,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcmp.c' 2024-01-19 03:06:58,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcoll.c' 2024-01-19 03:06:58,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c' 2024-01-19 03:06:58,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcpy.c' 2024-01-19 03:06:58,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcspn.c' 2024-01-19 03:06:58,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strdup.c' 2024-01-19 03:06:58,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c' 2024-01-19 03:06:58,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strerror.c' 2024-01-19 03:06:58,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c' 2024-01-19 03:06:58,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strings.tex' 2024-01-19 03:06:58,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlcat.c' 2024-01-19 03:06:58,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c' 2024-01-19 03:06:58,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlen.c' 2024-01-19 03:06:58,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlwr.c' 2024-01-19 03:06:58,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c' 2024-01-19 03:06:58,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c' 2024-01-19 03:06:58,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncat.c' 2024-01-19 03:06:58,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncmp.c' 2024-01-19 03:06:58,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncpy.c' 2024-01-19 03:06:58,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strndup.c' 2024-01-19 03:06:58,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c' 2024-01-19 03:06:58,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strnlen.c' 2024-01-19 03:06:58,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strnstr.c' 2024-01-19 03:06:58,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c' 2024-01-19 03:06:58,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strrchr.c' 2024-01-19 03:06:58,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strsep.c' 2024-01-19 03:06:58,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strsignal.c' 2024-01-19 03:06:58,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strspn.c' 2024-01-19 03:06:58,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strstr.c' 2024-01-19 03:06:58,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strtok.c' 2024-01-19 03:06:58,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c' 2024-01-19 03:06:58,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strupr.c' 2024-01-19 03:06:58,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c' 2024-01-19 03:06:58,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c' 2024-01-19 03:06:58,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c' 2024-01-19 03:06:58,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/swab.c' 2024-01-19 03:06:58,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c' 2024-01-19 03:06:58,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c' 2024-01-19 03:06:58,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c' 2024-01-19 03:06:58,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/uniset' 2024-01-19 03:06:58,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c' 2024-01-19 03:06:58,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c' 2024-01-19 03:06:58,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c' 2024-01-19 03:06:58,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c' 2024-01-19 03:06:58,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscat.c' 2024-01-19 03:06:58,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcschr.c' 2024-01-19 03:06:58,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c' 2024-01-19 03:06:58,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c' 2024-01-19 03:06:58,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c' 2024-01-19 03:06:58,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c' 2024-01-19 03:06:58,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c' 2024-01-19 03:06:58,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c' 2024-01-19 03:06:58,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c' 2024-01-19 03:06:58,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c' 2024-01-19 03:06:58,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslen.c' 2024-01-19 03:06:58,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c' 2024-01-19 03:06:58,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c' 2024-01-19 03:06:58,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c' 2024-01-19 03:06:58,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c' 2024-01-19 03:06:58,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c' 2024-01-19 03:06:58,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c' 2024-01-19 03:06:58,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c' 2024-01-19 03:06:58,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c' 2024-01-19 03:06:58,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c' 2024-01-19 03:06:58,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c' 2024-01-19 03:06:58,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcstok.c' 2024-01-19 03:06:58,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex' 2024-01-19 03:06:58,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c' 2024-01-19 03:06:58,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c' 2024-01-19 03:06:58,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c' 2024-01-19 03:06:58,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c' 2024-01-19 03:06:58,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wide.t' 2024-01-19 03:06:58,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c' 2024-01-19 03:06:58,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c' 2024-01-19 03:06:58,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c' 2024-01-19 03:06:58,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c' 2024-01-19 03:06:58,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c' 2024-01-19 03:06:58,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemset.c' 2024-01-19 03:06:58,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c' 2024-01-19 03:06:58,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README' 2024-01-19 03:06:58,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h' 2024-01-19 03:06:58,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h' 2024-01-19 03:06:58,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt' 2024-01-19 03:06:58,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/asctime.c' 2024-01-19 03:06:58,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c' 2024-01-19 03:06:58,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/clock.c' 2024-01-19 03:06:58,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/ctime.c' 2024-01-19 03:06:58,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c' 2024-01-19 03:06:58,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/difftime.c' 2024-01-19 03:06:58,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c' 2024-01-19 03:06:58,672 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gmtime.c' 2024-01-19 03:06:58,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c' 2024-01-19 03:06:58,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime.c' 2024-01-19 03:06:58,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c' 2024-01-19 03:06:58,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c' 2024-01-19 03:06:58,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/local.h' 2024-01-19 03:06:58,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/meson.build' 2024-01-19 03:06:58,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/mktime.c' 2024-01-19 03:06:58,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c' 2024-01-19 03:06:58,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/strftime.c' 2024-01-19 03:06:58,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/strptime.c' 2024-01-19 03:06:58,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/time.c' 2024-01-19 03:06:58,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/time.tex' 2024-01-19 03:06:58,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c' 2024-01-19 03:06:58,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzset.c' 2024-01-19 03:06:58,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzvars.c' 2024-01-19 03:06:58,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c' 2024-01-19 03:06:58,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt' 2024-01-19 03:06:58,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c' 2024-01-19 03:06:58,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c' 2024-01-19 03:06:58,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c' 2024-01-19 03:06:58,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c' 2024-01-19 03:06:58,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c' 2024-01-19 03:06:58,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c' 2024-01-19 03:06:58,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c' 2024-01-19 03:06:58,697 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c' 2024-01-19 03:06:58,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c' 2024-01-19 03:06:58,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c' 2024-01-19 03:06:58,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c' 2024-01-19 03:06:58,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c' 2024-01-19 03:06:58,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h' 2024-01-19 03:06:58,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c' 2024-01-19 03:06:58,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c' 2024-01-19 03:06:58,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c' 2024-01-19 03:06:58,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c' 2024-01-19 03:06:58,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c' 2024-01-19 03:06:58,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c' 2024-01-19 03:06:58,709 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c' 2024-01-19 03:06:58,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c' 2024-01-19 03:06:58,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c' 2024-01-19 03:06:58,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c' 2024-01-19 03:06:58,713 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c' 2024-01-19 03:06:58,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c' 2024-01-19 03:06:58,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c' 2024-01-19 03:06:58,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c' 2024-01-19 03:06:58,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c' 2024-01-19 03:06:58,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c' 2024-01-19 03:06:58,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c' 2024-01-19 03:06:58,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c' 2024-01-19 03:06:58,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c' 2024-01-19 03:06:58,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c' 2024-01-19 03:06:58,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c' 2024-01-19 03:06:58,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c' 2024-01-19 03:06:58,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c' 2024-01-19 03:06:58,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c' 2024-01-19 03:06:58,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c' 2024-01-19 03:06:58,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c' 2024-01-19 03:06:58,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c' 2024-01-19 03:06:58,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c' 2024-01-19 03:06:58,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c' 2024-01-19 03:06:58,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c' 2024-01-19 03:06:58,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c' 2024-01-19 03:06:58,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c' 2024-01-19 03:06:58,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c' 2024-01-19 03:06:58,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c' 2024-01-19 03:06:58,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c' 2024-01-19 03:06:58,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c' 2024-01-19 03:06:58,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h' 2024-01-19 03:06:58,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c' 2024-01-19 03:06:58,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c' 2024-01-19 03:06:58,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c' 2024-01-19 03:06:58,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c' 2024-01-19 03:06:58,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c' 2024-01-19 03:06:58,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c' 2024-01-19 03:06:58,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data' 2024-01-19 03:06:58,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c' 2024-01-19 03:06:58,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build' 2024-01-19 03:06:58,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c' 2024-01-19 03:06:58,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c' 2024-01-19 03:06:58,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c' 2024-01-19 03:06:58,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c' 2024-01-19 03:06:58,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c' 2024-01-19 03:06:58,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c' 2024-01-19 03:06:58,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c' 2024-01-19 03:06:58,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c' 2024-01-19 03:06:58,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c' 2024-01-19 03:06:58,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c' 2024-01-19 03:06:58,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c' 2024-01-19 03:06:58,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c' 2024-01-19 03:06:58,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c' 2024-01-19 03:06:58,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c' 2024-01-19 03:06:58,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c' 2024-01-19 03:06:58,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h' 2024-01-19 03:06:58,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c' 2024-01-19 03:06:58,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c' 2024-01-19 03:06:58,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c' 2024-01-19 03:06:58,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c' 2024-01-19 03:06:58,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c' 2024-01-19 03:06:58,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c' 2024-01-19 03:06:58,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c' 2024-01-19 03:06:58,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c' 2024-01-19 03:06:58,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c' 2024-01-19 03:06:58,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c' 2024-01-19 03:06:58,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c' 2024-01-19 03:06:58,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c' 2024-01-19 03:06:58,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h' 2024-01-19 03:06:58,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h' 2024-01-19 03:06:58,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h' 2024-01-19 03:06:58,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c' 2024-01-19 03:06:58,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c' 2024-01-19 03:06:58,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c' 2024-01-19 03:06:58,777 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c' 2024-01-19 03:06:58,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c' 2024-01-19 03:06:58,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c' 2024-01-19 03:06:58,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h' 2024-01-19 03:06:58,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c' 2024-01-19 03:06:58,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c' 2024-01-19 03:06:58,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c' 2024-01-19 03:06:58,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c' 2024-01-19 03:06:58,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c' 2024-01-19 03:06:58,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c' 2024-01-19 03:06:58,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c' 2024-01-19 03:06:58,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c' 2024-01-19 03:06:58,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c' 2024-01-19 03:06:58,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c' 2024-01-19 03:06:58,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c' 2024-01-19 03:06:58,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c' 2024-01-19 03:06:58,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c' 2024-01-19 03:06:58,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c' 2024-01-19 03:06:58,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c' 2024-01-19 03:06:58,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c' 2024-01-19 03:06:58,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c' 2024-01-19 03:06:58,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c' 2024-01-19 03:06:58,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c' 2024-01-19 03:06:58,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c' 2024-01-19 03:06:58,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c' 2024-01-19 03:06:58,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c' 2024-01-19 03:06:58,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c' 2024-01-19 03:06:58,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c' 2024-01-19 03:06:58,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c' 2024-01-19 03:06:58,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c' 2024-01-19 03:06:58,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c' 2024-01-19 03:06:58,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c' 2024-01-19 03:06:58,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h' 2024-01-19 03:06:58,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h' 2024-01-19 03:06:58,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h' 2024-01-19 03:06:58,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h' 2024-01-19 03:06:58,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h' 2024-01-19 03:06:58,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h' 2024-01-19 03:06:58,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h' 2024-01-19 03:06:58,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt' 2024-01-19 03:06:58,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/README' 2024-01-19 03:06:58,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/meson.build' 2024-01-19 03:06:58,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c' 2024-01-19 03:06:58,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c' 2024-01-19 03:06:58,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c' 2024-01-19 03:06:58,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c' 2024-01-19 03:06:58,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c' 2024-01-19 03:06:58,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c' 2024-01-19 03:06:58,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h' 2024-01-19 03:06:58,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c' 2024-01-19 03:06:58,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c' 2024-01-19 03:06:58,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c' 2024-01-19 03:06:58,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c' 2024-01-19 03:06:58,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt' 2024-01-19 03:06:58,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/libm.in.xml' 2024-01-19 03:06:58,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/meson.build' 2024-01-19 03:06:58,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt' 2024-01-19 03:06:58,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/acoshl.c' 2024-01-19 03:06:58,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/acosl.c' 2024-01-19 03:06:58,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/asinhl.c' 2024-01-19 03:06:58,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/asinl.c' 2024-01-19 03:06:58,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atan2l.c' 2024-01-19 03:06:58,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atanhl.c' 2024-01-19 03:06:58,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atanl.c' 2024-01-19 03:06:58,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c' 2024-01-19 03:06:58,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ceill.c' 2024-01-19 03:06:58,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/copysignl.c' 2024-01-19 03:06:58,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cosf.c' 2024-01-19 03:06:58,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/coshl.c' 2024-01-19 03:06:58,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cosl.c' 2024-01-19 03:06:58,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/dreml.c' 2024-01-19 03:06:58,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/erfcl.c' 2024-01-19 03:06:58,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/erfl.c' 2024-01-19 03:06:58,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp.c' 2024-01-19 03:06:58,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp10l.c' 2024-01-19 03:06:58,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp2.c' 2024-01-19 03:06:58,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp2l.c' 2024-01-19 03:06:58,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp_data.c' 2024-01-19 03:06:58,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/expl.c' 2024-01-19 03:06:58,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/expm1l.c' 2024-01-19 03:06:58,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fabsl.c' 2024-01-19 03:06:58,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fdiml.c' 2024-01-19 03:06:58,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h' 2024-01-19 03:06:58,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/finitel.c' 2024-01-19 03:06:58,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/floorl.c' 2024-01-19 03:06:58,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmal.c' 2024-01-19 03:06:58,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c' 2024-01-19 03:06:58,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fminl.c' 2024-01-19 03:06:58,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmodl.c' 2024-01-19 03:06:58,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/frexpl.c' 2024-01-19 03:06:58,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c' 2024-01-19 03:06:58,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isgreater.c' 2024-01-19 03:06:58,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isinfl.c' 2024-01-19 03:06:58,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isnanl.c' 2024-01-19 03:06:58,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c' 2024-01-19 03:06:58,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lgammal.c' 2024-01-19 03:06:58,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/llrintl.c' 2024-01-19 03:06:58,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/llroundl.c' 2024-01-19 03:06:58,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/local.h' 2024-01-19 03:06:58,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log.c' 2024-01-19 03:06:58,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log10l.c' 2024-01-19 03:06:58,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log1pl.c' 2024-01-19 03:06:58,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2.c' 2024-01-19 03:06:58,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2_data.c' 2024-01-19 03:06:58,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2l.c' 2024-01-19 03:06:58,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log_data.c' 2024-01-19 03:06:58,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/logbl.c' 2024-01-19 03:06:58,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/logl.c' 2024-01-19 03:06:58,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lrintl.c' 2024-01-19 03:06:58,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lroundl.c' 2024-01-19 03:06:58,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_config.h' 2024-01-19 03:06:58,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c' 2024-01-19 03:06:58,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c' 2024-01-19 03:06:58,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c' 2024-01-19 03:06:58,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c' 2024-01-19 03:06:58,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c' 2024-01-19 03:06:58,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c' 2024-01-19 03:06:58,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c' 2024-01-19 03:06:58,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c' 2024-01-19 03:06:58,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c' 2024-01-19 03:06:58,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c' 2024-01-19 03:06:58,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c' 2024-01-19 03:06:58,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c' 2024-01-19 03:06:58,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c' 2024-01-19 03:06:58,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c' 2024-01-19 03:06:58,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c' 2024-01-19 03:06:58,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c' 2024-01-19 03:06:58,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c' 2024-01-19 03:06:58,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c' 2024-01-19 03:06:58,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c' 2024-01-19 03:06:58,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c' 2024-01-19 03:06:58,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/meson.build' 2024-01-19 03:06:58,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/modfl.c' 2024-01-19 03:06:58,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nanl.c' 2024-01-19 03:06:58,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c' 2024-01-19 03:06:58,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c' 2024-01-19 03:06:58,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c' 2024-01-19 03:06:58,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c' 2024-01-19 03:06:58,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c' 2024-01-19 03:06:58,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow.c' 2024-01-19 03:06:58,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow10l.c' 2024-01-19 03:06:58,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c' 2024-01-19 03:06:58,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/powl.c' 2024-01-19 03:06:58,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/remainderl.c' 2024-01-19 03:06:58,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/remquol.c' 2024-01-19 03:06:58,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/rintl.c' 2024-01-19 03:06:58,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/roundl.c' 2024-01-19 03:06:58,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c' 2024-01-19 03:06:58,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c' 2024-01-19 03:06:58,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c' 2024-01-19 03:06:58,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c' 2024-01-19 03:06:58,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c' 2024-01-19 03:06:58,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_finite.c' 2024-01-19 03:06:58,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fma.c' 2024-01-19 03:06:58,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c' 2024-01-19 03:06:58,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c' 2024-01-19 03:06:58,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c' 2024-01-19 03:06:58,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c' 2024-01-19 03:06:58,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c' 2024-01-19 03:06:58,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c' 2024-01-19 03:06:58,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c' 2024-01-19 03:06:58,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c' 2024-01-19 03:06:58,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c' 2024-01-19 03:06:58,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c' 2024-01-19 03:06:58,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c' 2024-01-19 03:06:58,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c' 2024-01-19 03:06:58,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c' 2024-01-19 03:06:58,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_llround.c' 2024-01-19 03:06:58,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c' 2024-01-19 03:06:58,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_log2.c' 2024-01-19 03:06:58,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_logb.c' 2024-01-19 03:06:58,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c' 2024-01-19 03:06:58,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_lround.c' 2024-01-19 03:06:58,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_modf.c' 2024-01-19 03:06:58,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nan.c' 2024-01-19 03:06:58,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c' 2024-01-19 03:06:58,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c' 2024-01-19 03:06:58,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c' 2024-01-19 03:06:58,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c' 2024-01-19 03:06:58,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_rint.c' 2024-01-19 03:06:58,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_round.c' 2024-01-19 03:06:58,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c' 2024-01-19 03:06:58,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c' 2024-01-19 03:06:58,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c' 2024-01-19 03:06:58,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c' 2024-01-19 03:06:58,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c' 2024-01-19 03:06:58,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c' 2024-01-19 03:06:58,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c' 2024-01-19 03:06:58,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c' 2024-01-19 03:06:58,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c' 2024-01-19 03:06:58,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c' 2024-01-19 03:06:58,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c' 2024-01-19 03:06:58,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c' 2024-01-19 03:06:58,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c' 2024-01-19 03:06:58,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c' 2024-01-19 03:06:58,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c' 2024-01-19 03:06:58,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c' 2024-01-19 03:06:58,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c' 2024-01-19 03:06:58,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c' 2024-01-19 03:06:58,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c' 2024-01-19 03:06:58,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c' 2024-01-19 03:06:58,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c' 2024-01-19 03:06:58,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c' 2024-01-19 03:06:58,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c' 2024-01-19 03:06:58,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c' 2024-01-19 03:06:58,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c' 2024-01-19 03:06:58,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c' 2024-01-19 03:06:58,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c' 2024-01-19 03:06:58,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c' 2024-01-19 03:06:58,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c' 2024-01-19 03:06:58,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c' 2024-01-19 03:06:58,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log.c' 2024-01-19 03:06:58,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c' 2024-01-19 03:06:58,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c' 2024-01-19 03:06:58,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c' 2024-01-19 03:06:58,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c' 2024-01-19 03:06:58,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c' 2024-01-19 03:06:58,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c' 2024-01-19 03:06:58,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c' 2024-01-19 03:06:58,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c' 2024-01-19 03:06:58,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c' 2024-01-19 03:06:58,981 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c' 2024-01-19 03:06:58,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c' 2024-01-19 03:06:58,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c' 2024-01-19 03:06:58,983 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c' 2024-01-19 03:06:58,984 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c' 2024-01-19 03:06:58,985 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c' 2024-01-19 03:06:58,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c' 2024-01-19 03:06:58,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_round.c' 2024-01-19 03:06:58,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c' 2024-01-19 03:06:58,989 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c' 2024-01-19 03:06:58,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c' 2024-01-19 03:06:58,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/signgam.c' 2024-01-19 03:06:58,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf.c' 2024-01-19 03:06:58,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf.h' 2024-01-19 03:06:58,993 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c' 2024-01-19 03:06:58,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosl.c' 2024-01-19 03:06:58,995 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinf.c' 2024-01-19 03:06:58,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinhl.c' 2024-01-19 03:06:58,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinl.c' 2024-01-19 03:06:58,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c' 2024-01-19 03:06:58,999 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c' 2024-01-19 03:06:59,000 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c' 2024-01-19 03:06:59,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c' 2024-01-19 03:06:59,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tanhl.c' 2024-01-19 03:06:59,002 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tanl.c' 2024-01-19 03:06:59,003 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tgammal.c' 2024-01-19 03:06:59,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/truncl.c' 2024-01-19 03:06:59,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabs.c' 2024-01-19 03:06:59,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c' 2024-01-19 03:06:59,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c' 2024-01-19 03:06:59,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacos.c' 2024-01-19 03:06:59,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c' 2024-01-19 03:06:59,010 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c' 2024-01-19 03:06:59,011 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c' 2024-01-19 03:06:59,012 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c' 2024-01-19 03:06:59,013 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c' 2024-01-19 03:06:59,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/carg.c' 2024-01-19 03:06:59,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cargf.c' 2024-01-19 03:06:59,015 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cargl.c' 2024-01-19 03:06:59,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casin.c' 2024-01-19 03:06:59,017 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinf.c' 2024-01-19 03:06:59,018 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinh.c' 2024-01-19 03:06:59,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c' 2024-01-19 03:06:59,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c' 2024-01-19 03:06:59,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinl.c' 2024-01-19 03:06:59,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catan.c' 2024-01-19 03:06:59,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanf.c' 2024-01-19 03:06:59,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanh.c' 2024-01-19 03:06:59,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c' 2024-01-19 03:06:59,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c' 2024-01-19 03:06:59,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanl.c' 2024-01-19 03:06:59,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccos.c' 2024-01-19 03:06:59,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c' 2024-01-19 03:06:59,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c' 2024-01-19 03:06:59,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c' 2024-01-19 03:06:59,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c' 2024-01-19 03:06:59,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c' 2024-01-19 03:06:59,032 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c' 2024-01-19 03:06:59,033 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h' 2024-01-19 03:06:59,034 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c' 2024-01-19 03:06:59,035 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h' 2024-01-19 03:06:59,036 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c' 2024-01-19 03:06:59,037 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h' 2024-01-19 03:06:59,038 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexp.c' 2024-01-19 03:06:59,039 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c' 2024-01-19 03:06:59,040 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c' 2024-01-19 03:06:59,040 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimag.c' 2024-01-19 03:06:59,041 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c' 2024-01-19 03:06:59,042 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c' 2024-01-19 03:06:59,043 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog.c' 2024-01-19 03:06:59,044 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10.c' 2024-01-19 03:06:59,045 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c' 2024-01-19 03:06:59,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c' 2024-01-19 03:06:59,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clogf.c' 2024-01-19 03:06:59,047 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clogl.c' 2024-01-19 03:06:59,048 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/complex.tex' 2024-01-19 03:06:59,049 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conj.c' 2024-01-19 03:06:59,050 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conjf.c' 2024-01-19 03:06:59,051 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conjl.c' 2024-01-19 03:06:59,052 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpow.c' 2024-01-19 03:06:59,053 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c' 2024-01-19 03:06:59,054 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c' 2024-01-19 03:06:59,055 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cproj.c' 2024-01-19 03:06:59,055 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c' 2024-01-19 03:06:59,056 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c' 2024-01-19 03:06:59,057 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/creal.c' 2024-01-19 03:06:59,058 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/crealf.c' 2024-01-19 03:06:59,059 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/creall.c' 2024-01-19 03:06:59,060 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csin.c' 2024-01-19 03:06:59,061 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinf.c' 2024-01-19 03:06:59,062 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinh.c' 2024-01-19 03:06:59,063 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c' 2024-01-19 03:06:59,063 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c' 2024-01-19 03:06:59,064 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinl.c' 2024-01-19 03:06:59,065 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c' 2024-01-19 03:06:59,066 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c' 2024-01-19 03:06:59,067 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c' 2024-01-19 03:06:59,068 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctan.c' 2024-01-19 03:06:59,069 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c' 2024-01-19 03:06:59,070 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c' 2024-01-19 03:06:59,071 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c' 2024-01-19 03:06:59,072 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c' 2024-01-19 03:06:59,073 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c' 2024-01-19 03:06:59,074 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/meson.build' 2024-01-19 03:06:59,075 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt' 2024-01-19 03:06:59,076 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c' 2024-01-19 03:06:59,077 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c' 2024-01-19 03:06:59,078 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c' 2024-01-19 03:06:59,079 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c' 2024-01-19 03:06:59,080 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c' 2024-01-19 03:06:59,080 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c' 2024-01-19 03:06:59,081 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c' 2024-01-19 03:06:59,082 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c' 2024-01-19 03:06:59,083 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c' 2024-01-19 03:06:59,084 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c' 2024-01-19 03:06:59,085 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex' 2024-01-19 03:06:59,086 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c' 2024-01-19 03:06:59,087 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c' 2024-01-19 03:06:59,088 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c' 2024-01-19 03:06:59,088 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c' 2024-01-19 03:06:59,089 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c' 2024-01-19 03:06:59,090 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c' 2024-01-19 03:06:59,091 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c' 2024-01-19 03:06:59,092 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c' 2024-01-19 03:06:59,093 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c' 2024-01-19 03:06:59,094 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/meson.build' 2024-01-19 03:06:59,095 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt' 2024-01-19 03:06:59,096 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt' 2024-01-19 03:06:59,097 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c' 2024-01-19 03:06:59,098 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build' 2024-01-19 03:06:59,099 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c' 2024-01-19 03:06:59,100 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c' 2024-01-19 03:06:59,101 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c' 2024-01-19 03:06:59,101 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c' 2024-01-19 03:06:59,102 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c' 2024-01-19 03:06:59,103 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c' 2024-01-19 03:06:59,104 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c' 2024-01-19 03:06:59,105 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c' 2024-01-19 03:06:59,106 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c' 2024-01-19 03:06:59,107 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c' 2024-01-19 03:06:59,108 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c' 2024-01-19 03:06:59,109 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c' 2024-01-19 03:06:59,109 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c' 2024-01-19 03:06:59,110 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c' 2024-01-19 03:06:59,111 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c' 2024-01-19 03:06:59,112 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c' 2024-01-19 03:06:59,113 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c' 2024-01-19 03:06:59,114 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c' 2024-01-19 03:06:59,115 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c' 2024-01-19 03:06:59,116 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c' 2024-01-19 03:06:59,117 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c' 2024-01-19 03:06:59,117 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c' 2024-01-19 03:06:59,118 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c' 2024-01-19 03:06:59,119 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c' 2024-01-19 03:06:59,120 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c' 2024-01-19 03:06:59,121 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c' 2024-01-19 03:06:59,122 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c' 2024-01-19 03:06:59,123 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c' 2024-01-19 03:06:59,124 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c' 2024-01-19 03:06:59,125 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c' 2024-01-19 03:06:59,126 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt' 2024-01-19 03:06:59,127 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h' 2024-01-19 03:06:59,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c' 2024-01-19 03:06:59,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c' 2024-01-19 03:06:59,129 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c' 2024-01-19 03:06:59,130 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c' 2024-01-19 03:06:59,131 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c' 2024-01-19 03:06:59,132 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c' 2024-01-19 03:06:59,133 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c' 2024-01-19 03:06:59,134 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c' 2024-01-19 03:06:59,135 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c' 2024-01-19 03:06:59,135 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c' 2024-01-19 03:06:59,136 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c' 2024-01-19 03:06:59,137 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c' 2024-01-19 03:06:59,138 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c' 2024-01-19 03:06:59,139 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c' 2024-01-19 03:06:59,140 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build' 2024-01-19 03:06:59,141 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c' 2024-01-19 03:06:59,142 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c' 2024-01-19 03:06:59,143 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c' 2024-01-19 03:06:59,143 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c' 2024-01-19 03:06:59,144 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c' 2024-01-19 03:06:59,145 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c' 2024-01-19 03:06:59,146 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c' 2024-01-19 03:06:59,147 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c' 2024-01-19 03:06:59,148 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c' 2024-01-19 03:06:59,149 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c' 2024-01-19 03:06:59,150 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c' 2024-01-19 03:06:59,151 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c' 2024-01-19 03:06:59,151 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c' 2024-01-19 03:06:59,152 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c' 2024-01-19 03:06:59,153 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c' 2024-01-19 03:06:59,154 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c' 2024-01-19 03:06:59,155 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c' 2024-01-19 03:06:59,156 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c' 2024-01-19 03:06:59,157 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt' 2024-01-19 03:06:59,158 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c' 2024-01-19 03:06:59,159 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build' 2024-01-19 03:06:59,160 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S' 2024-01-19 03:06:59,161 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S' 2024-01-19 03:06:59,162 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c' 2024-01-19 03:06:59,163 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build' 2024-01-19 03:06:59,164 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c' 2024-01-19 03:06:59,164 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c' 2024-01-19 03:06:59,165 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c' 2024-01-19 03:06:59,166 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c' 2024-01-19 03:06:59,167 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c' 2024-01-19 03:06:59,168 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c' 2024-01-19 03:06:59,169 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c' 2024-01-19 03:06:59,170 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c' 2024-01-19 03:06:59,171 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c' 2024-01-19 03:06:59,171 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c' 2024-01-19 03:06:59,173 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt' 2024-01-19 03:06:59,174 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c' 2024-01-19 03:06:59,175 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c' 2024-01-19 03:06:59,175 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c' 2024-01-19 03:06:59,176 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c' 2024-01-19 03:06:59,177 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c' 2024-01-19 03:06:59,178 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c' 2024-01-19 03:06:59,179 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c' 2024-01-19 03:06:59,180 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c' 2024-01-19 03:06:59,181 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c' 2024-01-19 03:06:59,182 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c' 2024-01-19 03:06:59,183 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c' 2024-01-19 03:06:59,184 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build' 2024-01-19 03:06:59,185 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c' 2024-01-19 03:06:59,185 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c' 2024-01-19 03:06:59,186 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c' 2024-01-19 03:06:59,187 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c' 2024-01-19 03:06:59,188 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c' 2024-01-19 03:06:59,189 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c' 2024-01-19 03:06:59,190 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c' 2024-01-19 03:06:59,191 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c' 2024-01-19 03:06:59,192 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c' 2024-01-19 03:06:59,193 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c' 2024-01-19 03:06:59,194 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c' 2024-01-19 03:06:59,194 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c' 2024-01-19 03:06:59,195 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c' 2024-01-19 03:06:59,196 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c' 2024-01-19 03:06:59,197 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c' 2024-01-19 03:06:59,198 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c' 2024-01-19 03:06:59,199 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c' 2024-01-19 03:06:59,200 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c' 2024-01-19 03:06:59,201 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c' 2024-01-19 03:06:59,202 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c' 2024-01-19 03:06:59,202 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c' 2024-01-19 03:06:59,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c' 2024-01-19 03:06:59,204 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c' 2024-01-19 03:06:59,205 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c' 2024-01-19 03:06:59,206 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c' 2024-01-19 03:06:59,207 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c' 2024-01-19 03:06:59,208 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c' 2024-01-19 03:06:59,209 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c' 2024-01-19 03:06:59,210 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c' 2024-01-19 03:06:59,210 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c' 2024-01-19 03:06:59,211 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt' 2024-01-19 03:06:59,212 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c' 2024-01-19 03:06:59,213 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build' 2024-01-19 03:06:59,215 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c' 2024-01-19 03:06:59,216 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c' 2024-01-19 03:06:59,217 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c' 2024-01-19 03:06:59,218 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c' 2024-01-19 03:06:59,218 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c' 2024-01-19 03:06:59,219 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c' 2024-01-19 03:06:59,220 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c' 2024-01-19 03:06:59,221 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c' 2024-01-19 03:06:59,222 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c' 2024-01-19 03:06:59,223 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c' 2024-01-19 03:06:59,224 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c' 2024-01-19 03:06:59,225 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c' 2024-01-19 03:06:59,226 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c' 2024-01-19 03:06:59,227 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c' 2024-01-19 03:06:59,227 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c' 2024-01-19 03:06:59,228 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c' 2024-01-19 03:06:59,229 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c' 2024-01-19 03:06:59,230 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c' 2024-01-19 03:06:59,231 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c' 2024-01-19 03:06:59,232 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c' 2024-01-19 03:06:59,233 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c' 2024-01-19 03:06:59,234 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c' 2024-01-19 03:06:59,235 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c' 2024-01-19 03:06:59,235 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c' 2024-01-19 03:06:59,236 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c' 2024-01-19 03:06:59,237 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c' 2024-01-19 03:06:59,238 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c' 2024-01-19 03:06:59,239 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c' 2024-01-19 03:06:59,240 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c' 2024-01-19 03:06:59,241 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c' 2024-01-19 03:06:59,242 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c' 2024-01-19 03:06:59,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c' 2024-01-19 03:06:59,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c' 2024-01-19 03:06:59,244 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c' 2024-01-19 03:06:59,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c' 2024-01-19 03:06:59,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c' 2024-01-19 03:06:59,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c' 2024-01-19 03:06:59,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c' 2024-01-19 03:06:59,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c' 2024-01-19 03:06:59,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c' 2024-01-19 03:06:59,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c' 2024-01-19 03:06:59,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c' 2024-01-19 03:06:59,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c' 2024-01-19 03:06:59,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c' 2024-01-19 03:06:59,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c' 2024-01-19 03:06:59,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c' 2024-01-19 03:06:59,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c' 2024-01-19 03:06:59,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c' 2024-01-19 03:06:59,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c' 2024-01-19 03:06:59,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c' 2024-01-19 03:06:59,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c' 2024-01-19 03:06:59,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c' 2024-01-19 03:06:59,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c' 2024-01-19 03:06:59,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c' 2024-01-19 03:06:59,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c' 2024-01-19 03:06:59,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c' 2024-01-19 03:06:59,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c' 2024-01-19 03:06:59,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c' 2024-01-19 03:06:59,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c' 2024-01-19 03:06:59,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c' 2024-01-19 03:06:59,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c' 2024-01-19 03:06:59,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c' 2024-01-19 03:06:59,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c' 2024-01-19 03:06:59,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c' 2024-01-19 03:06:59,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c' 2024-01-19 03:06:59,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c' 2024-01-19 03:06:59,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c' 2024-01-19 03:06:59,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c' 2024-01-19 03:06:59,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c' 2024-01-19 03:06:59,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c' 2024-01-19 03:06:59,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c' 2024-01-19 03:06:59,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c' 2024-01-19 03:06:59,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c' 2024-01-19 03:06:59,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c' 2024-01-19 03:06:59,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c' 2024-01-19 03:06:59,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c' 2024-01-19 03:06:59,283 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c' 2024-01-19 03:06:59,284 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c' 2024-01-19 03:06:59,285 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c' 2024-01-19 03:06:59,286 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c' 2024-01-19 03:06:59,287 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c' 2024-01-19 03:06:59,288 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c' 2024-01-19 03:06:59,288 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c' 2024-01-19 03:06:59,289 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c' 2024-01-19 03:06:59,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c' 2024-01-19 03:06:59,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c' 2024-01-19 03:06:59,292 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c' 2024-01-19 03:06:59,293 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c' 2024-01-19 03:06:59,294 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c' 2024-01-19 03:06:59,295 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c' 2024-01-19 03:06:59,296 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c' 2024-01-19 03:06:59,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c' 2024-01-19 03:06:59,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c' 2024-01-19 03:06:59,298 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c' 2024-01-19 03:06:59,299 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c' 2024-01-19 03:06:59,300 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c' 2024-01-19 03:06:59,301 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c' 2024-01-19 03:06:59,302 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c' 2024-01-19 03:06:59,303 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c' 2024-01-19 03:06:59,304 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c' 2024-01-19 03:06:59,305 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c' 2024-01-19 03:06:59,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c' 2024-01-19 03:06:59,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c' 2024-01-19 03:06:59,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c' 2024-01-19 03:06:59,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c' 2024-01-19 03:06:59,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c' 2024-01-19 03:06:59,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c' 2024-01-19 03:06:59,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c' 2024-01-19 03:06:59,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c' 2024-01-19 03:06:59,313 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c' 2024-01-19 03:06:59,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c' 2024-01-19 03:06:59,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c' 2024-01-19 03:06:59,315 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c' 2024-01-19 03:06:59,317 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h' 2024-01-19 03:06:59,318 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h' 2024-01-19 03:06:59,319 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h' 2024-01-19 03:06:59,320 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h' 2024-01-19 03:06:59,321 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h' 2024-01-19 03:06:59,322 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h' 2024-01-19 03:06:59,323 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h' 2024-01-19 03:06:59,324 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h' 2024-01-19 03:06:59,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h' 2024-01-19 03:06:59,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h' 2024-01-19 03:06:59,327 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h' 2024-01-19 03:06:59,328 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h' 2024-01-19 03:06:59,329 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h' 2024-01-19 03:06:59,330 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h' 2024-01-19 03:06:59,331 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h' 2024-01-19 03:06:59,332 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h' 2024-01-19 03:06:59,333 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h' 2024-01-19 03:06:59,334 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h' 2024-01-19 03:06:59,335 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h' 2024-01-19 03:06:59,336 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h' 2024-01-19 03:06:59,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h' 2024-01-19 03:06:59,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h' 2024-01-19 03:06:59,338 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h' 2024-01-19 03:06:59,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h' 2024-01-19 03:06:59,340 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h' 2024-01-19 03:06:59,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h' 2024-01-19 03:06:59,342 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h' 2024-01-19 03:06:59,343 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h' 2024-01-19 03:06:59,344 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h' 2024-01-19 03:06:59,345 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h' 2024-01-19 03:06:59,346 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h' 2024-01-19 03:06:59,347 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h' 2024-01-19 03:06:59,348 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h' 2024-01-19 03:06:59,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h' 2024-01-19 03:06:59,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h' 2024-01-19 03:06:59,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h' 2024-01-19 03:06:59,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h' 2024-01-19 03:06:59,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h' 2024-01-19 03:06:59,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h' 2024-01-19 03:06:59,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h' 2024-01-19 03:06:59,355 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h' 2024-01-19 03:06:59,356 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h' 2024-01-19 03:06:59,357 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h' 2024-01-19 03:06:59,358 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h' 2024-01-19 03:06:59,359 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h' 2024-01-19 03:06:59,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h' 2024-01-19 03:06:59,361 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h' 2024-01-19 03:06:59,362 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h' 2024-01-19 03:06:59,363 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h' 2024-01-19 03:06:59,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h' 2024-01-19 03:06:59,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h' 2024-01-19 03:06:59,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h' 2024-01-19 03:06:59,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h' 2024-01-19 03:06:59,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h' 2024-01-19 03:06:59,369 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h' 2024-01-19 03:06:59,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h' 2024-01-19 03:06:59,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h' 2024-01-19 03:06:59,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h' 2024-01-19 03:06:59,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h' 2024-01-19 03:06:59,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h' 2024-01-19 03:06:59,375 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h' 2024-01-19 03:06:59,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h' 2024-01-19 03:06:59,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h' 2024-01-19 03:06:59,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h' 2024-01-19 03:06:59,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h' 2024-01-19 03:06:59,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h' 2024-01-19 03:06:59,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h' 2024-01-19 03:06:59,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h' 2024-01-19 03:06:59,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h' 2024-01-19 03:06:59,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h' 2024-01-19 03:06:59,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h' 2024-01-19 03:06:59,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h' 2024-01-19 03:06:59,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h' 2024-01-19 03:06:59,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h' 2024-01-19 03:06:59,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h' 2024-01-19 03:06:59,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h' 2024-01-19 03:06:59,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h' 2024-01-19 03:06:59,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h' 2024-01-19 03:06:59,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h' 2024-01-19 03:06:59,393 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h' 2024-01-19 03:06:59,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h' 2024-01-19 03:06:59,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h' 2024-01-19 03:06:59,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h' 2024-01-19 03:06:59,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h' 2024-01-19 03:06:59,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h' 2024-01-19 03:06:59,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h' 2024-01-19 03:06:59,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h' 2024-01-19 03:06:59,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h' 2024-01-19 03:06:59,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h' 2024-01-19 03:06:59,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h' 2024-01-19 03:06:59,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h' 2024-01-19 03:06:59,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h' 2024-01-19 03:06:59,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h' 2024-01-19 03:06:59,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h' 2024-01-19 03:06:59,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h' 2024-01-19 03:06:59,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h' 2024-01-19 03:06:59,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h' 2024-01-19 03:06:59,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h' 2024-01-19 03:06:59,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h' 2024-01-19 03:06:59,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h' 2024-01-19 03:06:59,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h' 2024-01-19 03:06:59,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h' 2024-01-19 03:06:59,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h' 2024-01-19 03:06:59,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h' 2024-01-19 03:06:59,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h' 2024-01-19 03:06:59,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h' 2024-01-19 03:06:59,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h' 2024-01-19 03:06:59,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h' 2024-01-19 03:06:59,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h' 2024-01-19 03:06:59,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h' 2024-01-19 03:06:59,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h' 2024-01-19 03:06:59,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h' 2024-01-19 03:06:59,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h' 2024-01-19 03:06:59,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h' 2024-01-19 03:06:59,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h' 2024-01-19 03:06:59,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h' 2024-01-19 03:06:59,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h' 2024-01-19 03:06:59,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h' 2024-01-19 03:06:59,429 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h' 2024-01-19 03:06:59,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h' 2024-01-19 03:06:59,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h' 2024-01-19 03:06:59,432 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h' 2024-01-19 03:06:59,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h' 2024-01-19 03:06:59,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h' 2024-01-19 03:06:59,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h' 2024-01-19 03:06:59,436 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h' 2024-01-19 03:06:59,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h' 2024-01-19 03:06:59,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h' 2024-01-19 03:06:59,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h' 2024-01-19 03:06:59,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h' 2024-01-19 03:06:59,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h' 2024-01-19 03:06:59,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h' 2024-01-19 03:06:59,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h' 2024-01-19 03:06:59,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h' 2024-01-19 03:06:59,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h' 2024-01-19 03:06:59,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h' 2024-01-19 03:06:59,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h' 2024-01-19 03:06:59,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h' 2024-01-19 03:06:59,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h' 2024-01-19 03:06:59,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h' 2024-01-19 03:06:59,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h' 2024-01-19 03:06:59,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h' 2024-01-19 03:06:59,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h' 2024-01-19 03:06:59,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h' 2024-01-19 03:06:59,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h' 2024-01-19 03:06:59,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h' 2024-01-19 03:06:59,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h' 2024-01-19 03:06:59,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h' 2024-01-19 03:06:59,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h' 2024-01-19 03:06:59,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h' 2024-01-19 03:06:59,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h' 2024-01-19 03:06:59,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h' 2024-01-19 03:06:59,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h' 2024-01-19 03:06:59,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h' 2024-01-19 03:06:59,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h' 2024-01-19 03:06:59,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h' 2024-01-19 03:06:59,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h' 2024-01-19 03:06:59,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h' 2024-01-19 03:06:59,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h' 2024-01-19 03:06:59,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h' 2024-01-19 03:06:59,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h' 2024-01-19 03:06:59,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h' 2024-01-19 03:06:59,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h' 2024-01-19 03:06:59,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h' 2024-01-19 03:06:59,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h' 2024-01-19 03:06:59,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h' 2024-01-19 03:06:59,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h' 2024-01-19 03:06:59,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h' 2024-01-19 03:06:59,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h' 2024-01-19 03:06:59,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h' 2024-01-19 03:06:59,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h' 2024-01-19 03:06:59,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h' 2024-01-19 03:06:59,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h' 2024-01-19 03:06:59,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h' 2024-01-19 03:06:59,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h' 2024-01-19 03:06:59,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h' 2024-01-19 03:06:59,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h' 2024-01-19 03:06:59,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h' 2024-01-19 03:06:59,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h' 2024-01-19 03:06:59,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h' 2024-01-19 03:06:59,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h' 2024-01-19 03:06:59,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h' 2024-01-19 03:06:59,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h' 2024-01-19 03:06:59,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h' 2024-01-19 03:06:59,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h' 2024-01-19 03:06:59,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h' 2024-01-19 03:06:59,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h' 2024-01-19 03:06:59,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h' 2024-01-19 03:06:59,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h' 2024-01-19 03:06:59,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h' 2024-01-19 03:06:59,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h' 2024-01-19 03:06:59,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h' 2024-01-19 03:06:59,499 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h' 2024-01-19 03:06:59,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h' 2024-01-19 03:06:59,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h' 2024-01-19 03:06:59,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h' 2024-01-19 03:06:59,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h' 2024-01-19 03:06:59,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h' 2024-01-19 03:06:59,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h' 2024-01-19 03:06:59,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h' 2024-01-19 03:06:59,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h' 2024-01-19 03:06:59,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h' 2024-01-19 03:06:59,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h' 2024-01-19 03:06:59,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h' 2024-01-19 03:06:59,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt' 2024-01-19 03:06:59,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S' 2024-01-19 03:06:59,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S' 2024-01-19 03:06:59,513 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c' 2024-01-19 03:06:59,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c' 2024-01-19 03:06:59,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S' 2024-01-19 03:06:59,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S' 2024-01-19 03:06:59,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S' 2024-01-19 03:06:59,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S' 2024-01-19 03:06:59,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c' 2024-01-19 03:06:59,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c' 2024-01-19 03:06:59,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c' 2024-01-19 03:06:59,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S' 2024-01-19 03:06:59,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S' 2024-01-19 03:06:59,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S' 2024-01-19 03:06:59,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S' 2024-01-19 03:06:59,525 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c' 2024-01-19 03:06:59,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c' 2024-01-19 03:06:59,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c' 2024-01-19 03:06:59,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h' 2024-01-19 03:06:59,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c' 2024-01-19 03:06:59,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c' 2024-01-19 03:06:59,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c' 2024-01-19 03:06:59,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c' 2024-01-19 03:06:59,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c' 2024-01-19 03:06:59,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S' 2024-01-19 03:06:59,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S' 2024-01-19 03:06:59,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c' 2024-01-19 03:06:59,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h' 2024-01-19 03:06:59,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build' 2024-01-19 03:06:59,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt' 2024-01-19 03:06:59,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c' 2024-01-19 03:06:59,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c' 2024-01-19 03:06:59,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c' 2024-01-19 03:06:59,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c' 2024-01-19 03:06:59,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c' 2024-01-19 03:06:59,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c' 2024-01-19 03:06:59,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c' 2024-01-19 03:06:59,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c' 2024-01-19 03:06:59,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c' 2024-01-19 03:06:59,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c' 2024-01-19 03:06:59,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c' 2024-01-19 03:06:59,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c' 2024-01-19 03:06:59,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c' 2024-01-19 03:06:59,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c' 2024-01-19 03:06:59,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build' 2024-01-19 03:06:59,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt' 2024-01-19 03:06:59,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_cos.c' 2024-01-19 03:06:59,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c' 2024-01-19 03:06:59,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_sin.c' 2024-01-19 03:06:59,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_tan.c' 2024-01-19 03:06:59,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c' 2024-01-19 03:06:59,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c' 2024-01-19 03:06:59,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c' 2024-01-19 03:06:59,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c' 2024-01-19 03:06:59,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/math.tex' 2024-01-19 03:06:59,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/meson.build' 2024-01-19 03:06:59,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_acos.c' 2024-01-19 03:06:59,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c' 2024-01-19 03:06:59,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_asin.c' 2024-01-19 03:06:59,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c' 2024-01-19 03:06:59,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atan.c' 2024-01-19 03:06:59,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c' 2024-01-19 03:06:59,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c' 2024-01-19 03:06:59,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c' 2024-01-19 03:06:59,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_cos.c' 2024-01-19 03:06:59,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c' 2024-01-19 03:06:59,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_drem.c' 2024-01-19 03:06:59,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_erf.c' 2024-01-19 03:06:59,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_exp.c' 2024-01-19 03:06:59,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c' 2024-01-19 03:06:59,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c' 2024-01-19 03:06:59,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_floor.c' 2024-01-19 03:06:59,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c' 2024-01-19 03:06:59,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c' 2024-01-19 03:06:59,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c' 2024-01-19 03:06:59,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c' 2024-01-19 03:06:59,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_j0.c' 2024-01-19 03:06:59,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_j1.c' 2024-01-19 03:06:59,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_jn.c' 2024-01-19 03:06:59,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c' 2024-01-19 03:06:59,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_log.c' 2024-01-19 03:06:59,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_log10.c' 2024-01-19 03:06:59,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_pow.c' 2024-01-19 03:06:59,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c' 2024-01-19 03:06:59,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c' 2024-01-19 03:06:59,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c' 2024-01-19 03:06:59,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_signif.c' 2024-01-19 03:06:59,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sin.c' 2024-01-19 03:06:59,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c' 2024-01-19 03:06:59,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c' 2024-01-19 03:06:59,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c' 2024-01-19 03:06:59,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tan.c' 2024-01-19 03:06:59,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c' 2024-01-19 03:06:59,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c' 2024-01-19 03:06:59,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c' 2024-01-19 03:06:59,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c' 2024-01-19 03:06:59,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c' 2024-01-19 03:06:59,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c' 2024-01-19 03:06:59,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c' 2024-01-19 03:06:59,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c' 2024-01-19 03:06:59,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c' 2024-01-19 03:06:59,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c' 2024-01-19 03:06:59,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c' 2024-01-19 03:06:59,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c' 2024-01-19 03:06:59,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c' 2024-01-19 03:06:59,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c' 2024-01-19 03:06:59,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c' 2024-01-19 03:06:59,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c' 2024-01-19 03:06:59,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c' 2024-01-19 03:06:59,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c' 2024-01-19 03:06:59,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c' 2024-01-19 03:06:59,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c' 2024-01-19 03:06:59,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c' 2024-01-19 03:06:59,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c' 2024-01-19 03:06:59,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c' 2024-01-19 03:06:59,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c' 2024-01-19 03:06:59,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c' 2024-01-19 03:06:59,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c' 2024-01-19 03:06:59,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log.c' 2024-01-19 03:06:59,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c' 2024-01-19 03:06:59,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c' 2024-01-19 03:06:59,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c' 2024-01-19 03:06:59,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c' 2024-01-19 03:06:59,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c' 2024-01-19 03:06:59,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c' 2024-01-19 03:06:59,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c' 2024-01-19 03:06:59,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c' 2024-01-19 03:06:59,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c' 2024-01-19 03:06:59,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c' 2024-01-19 03:06:59,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c' 2024-01-19 03:06:59,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c' 2024-01-19 03:06:59,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c' 2024-01-19 03:06:59,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c' 2024-01-19 03:06:59,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c' 2024-01-19 03:06:59,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c' 2024-01-19 03:06:59,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c' 2024-01-19 03:06:59,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c' 2024-01-19 03:06:59,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c' 2024-01-19 03:06:59,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c' 2024-01-19 03:06:59,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c' 2024-01-19 03:06:59,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c' 2024-01-19 03:06:59,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c' 2024-01-19 03:06:59,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c' 2024-01-19 03:06:59,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c' 2024-01-19 03:06:59,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c' 2024-01-19 03:06:59,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c' 2024-01-19 03:06:59,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c' 2024-01-19 03:06:59,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c' 2024-01-19 03:06:59,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c' 2024-01-19 03:06:59,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c' 2024-01-19 03:06:59,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c' 2024-01-19 03:06:59,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c' 2024-01-19 03:06:59,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c' 2024-01-19 03:06:59,672 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/convert.c' 2024-01-19 03:06:59,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c' 2024-01-19 03:06:59,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c' 2024-01-19 03:06:59,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c' 2024-01-19 03:06:59,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c' 2024-01-19 03:06:59,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c' 2024-01-19 03:06:59,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c' 2024-01-19 03:06:59,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/dcvt.c' 2024-01-19 03:06:59,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/dvec.c' 2024-01-19 03:06:59,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c' 2024-01-19 03:06:59,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c' 2024-01-19 03:06:59,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c' 2024-01-19 03:06:59,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c' 2024-01-19 03:06:59,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c' 2024-01-19 03:06:59,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c' 2024-01-19 03:06:59,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c' 2024-01-19 03:06:59,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c' 2024-01-19 03:06:59,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c' 2024-01-19 03:06:59,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c' 2024-01-19 03:06:59,697 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c' 2024-01-19 03:06:59,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c' 2024-01-19 03:06:59,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c' 2024-01-19 03:06:59,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c' 2024-01-19 03:06:59,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c' 2024-01-19 03:06:59,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c' 2024-01-19 03:06:59,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c' 2024-01-19 03:06:59,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c' 2024-01-19 03:06:59,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c' 2024-01-19 03:06:59,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c' 2024-01-19 03:06:59,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c' 2024-01-19 03:06:59,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c' 2024-01-19 03:06:59,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c' 2024-01-19 03:06:59,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c' 2024-01-19 03:06:59,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c' 2024-01-19 03:06:59,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c' 2024-01-19 03:06:59,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c' 2024-01-19 03:06:59,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c' 2024-01-19 03:06:59,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c' 2024-01-19 03:06:59,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c' 2024-01-19 03:06:59,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log_vec.c' 2024-01-19 03:06:59,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c' 2024-01-19 03:06:59,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/math.c' 2024-01-19 03:06:59,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/math2.c' 2024-01-19 03:06:59,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/meson.build' 2024-01-19 03:06:59,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c' 2024-01-19 03:06:59,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c' 2024-01-19 03:06:59,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c' 2024-01-19 03:06:59,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c' 2024-01-19 03:06:59,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c' 2024-01-19 03:06:59,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c' 2024-01-19 03:06:59,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c' 2024-01-19 03:06:59,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c' 2024-01-19 03:06:59,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c' 2024-01-19 03:06:59,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c' 2024-01-19 03:06:59,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c' 2024-01-19 03:06:59,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c' 2024-01-19 03:06:59,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c' 2024-01-19 03:06:59,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c' 2024-01-19 03:06:59,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/string.c' 2024-01-19 03:06:59,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c' 2024-01-19 03:06:59,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c' 2024-01-19 03:06:59,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c' 2024-01-19 03:06:59,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c' 2024-01-19 03:06:59,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test.c' 2024-01-19 03:06:59,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test.h' 2024-01-19 03:06:59,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c' 2024-01-19 03:06:59,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test_is.c' 2024-01-19 03:06:59,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c' 2024-01-19 03:06:59,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c' 2024-01-19 03:06:59,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c' 2024-01-19 03:06:59,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c' 2024-01-19 03:06:59,777 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c' 2024-01-19 03:06:59,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c' 2024-01-19 03:06:59,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c' 2024-01-19 03:06:59,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c' 2024-01-19 03:06:59,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/meson.build' 2024-01-19 03:06:59,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c' 2024-01-19 03:06:59,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/config/default.exp' 2024-01-19 03:06:59,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/include/check.h' 2024-01-19 03:06:59,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp' 2024-01-19 03:06:59,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp' 2024-01-19 03:06:59,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp' 2024-01-19 03:06:59,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp' 2024-01-19 03:06:59,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp' 2024-01-19 03:06:59,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c' 2024-01-19 03:06:59,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp' 2024-01-19 03:06:59,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c' 2024-01-19 03:06:59,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c' 2024-01-19 03:06:59,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c' 2024-01-19 03:06:59,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build' 2024-01-19 03:06:59,798 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c' 2024-01-19 03:06:59,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp' 2024-01-19 03:06:59,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp' 2024-01-19 03:06:59,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build' 2024-01-19 03:06:59,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c' 2024-01-19 03:06:59,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp' 2024-01-19 03:06:59,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build' 2024-01-19 03:06:59,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build' 2024-01-19 03:06:59,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c' 2024-01-19 03:06:59,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp' 2024-01-19 03:06:59,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c' 2024-01-19 03:06:59,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c' 2024-01-19 03:06:59,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp' 2024-01-19 03:06:59,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build' 2024-01-19 03:06:59,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c' 2024-01-19 03:06:59,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp' 2024-01-19 03:06:59,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c' 2024-01-19 03:06:59,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c' 2024-01-19 03:06:59,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build' 2024-01-19 03:06:59,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c' 2024-01-19 03:06:59,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp' 2024-01-19 03:06:59,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c' 2024-01-19 03:06:59,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build' 2024-01-19 03:06:59,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp' 2024-01-19 03:06:59,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c' 2024-01-19 03:06:59,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build' 2024-01-19 03:06:59,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c' 2024-01-19 03:06:59,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c' 2024-01-19 03:06:59,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c' 2024-01-19 03:06:59,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp' 2024-01-19 03:06:59,826 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/CMakeLists.txt' 2024-01-19 03:06:59,827 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/crt0.h' 2024-01-19 03:06:59,828 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/meson.build' 2024-01-19 03:06:59,829 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c' 2024-01-19 03:06:59,830 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build' 2024-01-19 03:06:59,831 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt' 2024-01-19 03:06:59,832 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c' 2024-01-19 03:06:59,833 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/meson.build' 2024-01-19 03:06:59,834 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c' 2024-01-19 03:06:59,835 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build' 2024-01-19 03:06:59,836 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S' 2024-01-19 03:06:59,837 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S' 2024-01-19 03:06:59,838 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S' 2024-01-19 03:06:59,839 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/meson.build' 2024-01-19 03:06:59,840 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/shared/crt0.c' 2024-01-19 03:06:59,842 wheel INFO adding 'pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh' 2024-01-19 03:06:59,843 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt' 2024-01-19 03:06:59,844 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt' 2024-01-19 03:06:59,844 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt' 2024-01-19 03:06:59,845 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt' 2024-01-19 03:06:59,846 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt' 2024-01-19 03:06:59,847 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt' 2024-01-19 03:06:59,848 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt' 2024-01-19 03:06:59,849 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt' 2024-01-19 03:06:59,850 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt' 2024-01-19 03:06:59,851 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt' 2024-01-19 03:06:59,851 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt' 2024-01-19 03:06:59,852 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt' 2024-01-19 03:06:59,853 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt' 2024-01-19 03:06:59,854 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt' 2024-01-19 03:06:59,855 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt' 2024-01-19 03:06:59,856 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt' 2024-01-19 03:06:59,857 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt' 2024-01-19 03:06:59,857 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt' 2024-01-19 03:06:59,858 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt' 2024-01-19 03:06:59,859 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-msp430.txt' 2024-01-19 03:06:59,860 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt' 2024-01-19 03:06:59,861 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt' 2024-01-19 03:06:59,862 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt' 2024-01-19 03:06:59,862 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt' 2024-01-19 03:06:59,863 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt' 2024-01-19 03:06:59,864 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt' 2024-01-19 03:06:59,865 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-rv32imac.txt' 2024-01-19 03:06:59,866 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt' 2024-01-19 03:06:59,867 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt' 2024-01-19 03:06:59,868 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt' 2024-01-19 03:06:59,868 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt' 2024-01-19 03:06:59,869 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt' 2024-01-19 03:06:59,870 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt' 2024-01-19 03:06:59,871 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt' 2024-01-19 03:06:59,872 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt' 2024-01-19 03:06:59,873 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt' 2024-01-19 03:06:59,874 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt' 2024-01-19 03:06:59,874 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt' 2024-01-19 03:06:59,875 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt' 2024-01-19 03:06:59,876 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt' 2024-01-19 03:06:59,877 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt' 2024-01-19 03:06:59,878 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt' 2024-01-19 03:06:59,879 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt' 2024-01-19 03:06:59,880 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt' 2024-01-19 03:06:59,880 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt' 2024-01-19 03:06:59,881 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt' 2024-01-19 03:06:59,882 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt' 2024-01-19 03:06:59,883 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt' 2024-01-19 03:06:59,884 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt' 2024-01-19 03:06:59,885 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt' 2024-01-19 03:06:59,885 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-aarch64-configure' 2024-01-19 03:06:59,886 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure' 2024-01-19 03:06:59,887 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arc-configure' 2024-01-19 03:06:59,888 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arc64-configure' 2024-01-19 03:06:59,889 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arm-configure' 2024-01-19 03:06:59,890 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-arm-configure' 2024-01-19 03:06:59,891 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-msp430-configure' 2024-01-19 03:06:59,892 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-riscv-configure' 2024-01-19 03:06:59,892 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure' 2024-01-19 03:06:59,893 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure' 2024-01-19 03:06:59,894 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure' 2024-01-19 03:06:59,895 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure' 2024-01-19 03:06:59,896 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure' 2024-01-19 03:06:59,897 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-configure' 2024-01-19 03:06:59,898 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-cortex-a9-configure' 2024-01-19 03:06:59,899 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-esp32-configure' 2024-01-19 03:06:59,899 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-freedom-tools-configure' 2024-01-19 03:06:59,900 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-freedom-tools-package' 2024-01-19 03:06:59,901 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-i386-configure' 2024-01-19 03:06:59,902 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-lx106-configure' 2024-01-19 03:06:59,903 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-m68k-configure' 2024-01-19 03:06:59,904 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-mips-configure' 2024-01-19 03:06:59,905 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-mipsel-configure' 2024-01-19 03:06:59,906 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-msp430-configure' 2024-01-19 03:06:59,906 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-native-configure' 2024-01-19 03:06:59,907 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-nios2-configure' 2024-01-19 03:06:59,908 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-powerpc64-configure' 2024-01-19 03:06:59,909 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-powerpc64le-configure' 2024-01-19 03:06:59,910 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-riscv-configure' 2024-01-19 03:06:59,911 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-rv32imac-configure' 2024-01-19 03:06:59,912 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-sparc64-configure' 2024-01-19 03:06:59,913 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-x86-configure' 2024-01-19 03:06:59,913 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-x86_64-configure' 2024-01-19 03:06:59,914 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure' 2024-01-19 03:06:59,915 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure' 2024-01-19 03:06:59,916 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure' 2024-01-19 03:06:59,917 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure' 2024-01-19 03:06:59,918 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure' 2024-01-19 03:06:59,919 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure' 2024-01-19 03:06:59,920 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure' 2024-01-19 03:06:59,920 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure' 2024-01-19 03:06:59,921 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure' 2024-01-19 03:06:59,922 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure' 2024-01-19 03:06:59,923 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure' 2024-01-19 03:06:59,924 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure' 2024-01-19 03:06:59,925 wheel INFO adding 'pythondata_software_picolibc/data/scripts/duplicate-names' 2024-01-19 03:06:59,926 wheel INFO adding 'pythondata_software_picolibc/data/scripts/monitor-e9' 2024-01-19 03:06:59,926 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-aarch64' 2024-01-19 03:06:59,927 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-arm' 2024-01-19 03:06:59,928 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-cortex-a9' 2024-01-19 03:06:59,929 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-i386' 2024-01-19 03:06:59,930 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-riscv' 2024-01-19 03:06:59,931 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-rv32imac' 2024-01-19 03:06:59,932 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-rv32imafdc' 2024-01-19 03:06:59,933 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv6m' 2024-01-19 03:06:59,934 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv7e' 2024-01-19 03:06:59,935 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv7m' 2024-01-19 03:06:59,935 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-x86' 2024-01-19 03:06:59,936 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-x86_64' 2024-01-19 03:06:59,937 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-aarch64.ld' 2024-01-19 03:06:59,938 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-arm.ld' 2024-01-19 03:06:59,939 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-cortex-a9.ld' 2024-01-19 03:06:59,940 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-i386.ld' 2024-01-19 03:06:59,941 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-m68k.ld' 2024-01-19 03:06:59,942 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv.ld' 2024-01-19 03:06:59,942 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv32.ld' 2024-01-19 03:06:59,943 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv64.ld' 2024-01-19 03:06:59,944 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-x86.ld' 2024-01-19 03:06:59,945 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-x86_64.ld' 2024-01-19 03:06:59,946 wheel INFO adding 'pythondata_software_picolibc/data/semihost/CMakeLists.txt' 2024-01-19 03:06:59,947 wheel INFO adding 'pythondata_software_picolibc/data/semihost/close.c' 2024-01-19 03:06:59,948 wheel INFO adding 'pythondata_software_picolibc/data/semihost/exit.c' 2024-01-19 03:06:59,949 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fstat.c' 2024-01-19 03:06:59,950 wheel INFO adding 'pythondata_software_picolibc/data/semihost/getentropy.c' 2024-01-19 03:06:59,951 wheel INFO adding 'pythondata_software_picolibc/data/semihost/gettimeofday.c' 2024-01-19 03:06:59,952 wheel INFO adding 'pythondata_software_picolibc/data/semihost/iob.c' 2024-01-19 03:06:59,953 wheel INFO adding 'pythondata_software_picolibc/data/semihost/isatty.c' 2024-01-19 03:06:59,953 wheel INFO adding 'pythondata_software_picolibc/data/semihost/kill.c' 2024-01-19 03:06:59,954 wheel INFO adding 'pythondata_software_picolibc/data/semihost/lseek.c' 2024-01-19 03:06:59,955 wheel INFO adding 'pythondata_software_picolibc/data/semihost/lseek64.c' 2024-01-19 03:06:59,956 wheel INFO adding 'pythondata_software_picolibc/data/semihost/mapstdio.c' 2024-01-19 03:06:59,957 wheel INFO adding 'pythondata_software_picolibc/data/semihost/meson.build' 2024-01-19 03:06:59,958 wheel INFO adding 'pythondata_software_picolibc/data/semihost/open.c' 2024-01-19 03:06:59,959 wheel INFO adding 'pythondata_software_picolibc/data/semihost/read.c' 2024-01-19 03:06:59,960 wheel INFO adding 'pythondata_software_picolibc/data/semihost/semihost-private.h' 2024-01-19 03:06:59,960 wheel INFO adding 'pythondata_software_picolibc/data/semihost/semihost.h' 2024-01-19 03:06:59,961 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_clock.c' 2024-01-19 03:06:59,962 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_close.c' 2024-01-19 03:06:59,963 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_elapsed.c' 2024-01-19 03:06:59,964 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_errno.c' 2024-01-19 03:06:59,965 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_exit.c' 2024-01-19 03:06:59,966 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_exit_extended.c' 2024-01-19 03:06:59,967 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_feature.c' 2024-01-19 03:06:59,968 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_flen.c' 2024-01-19 03:06:59,968 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_get_cmdline.c' 2024-01-19 03:06:59,969 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_getc.c' 2024-01-19 03:06:59,970 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_heapinfo.c' 2024-01-19 03:06:59,971 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_iserror.c' 2024-01-19 03:06:59,972 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_istty.c' 2024-01-19 03:06:59,973 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_open.c' 2024-01-19 03:06:59,974 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_putc.c' 2024-01-19 03:06:59,975 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_read.c' 2024-01-19 03:06:59,975 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_remove.c' 2024-01-19 03:06:59,976 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_rename.c' 2024-01-19 03:06:59,977 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_seek.c' 2024-01-19 03:06:59,978 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_system.c' 2024-01-19 03:06:59,979 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_tickfreq.c' 2024-01-19 03:06:59,980 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_time.c' 2024-01-19 03:06:59,981 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_tmpnam.c' 2024-01-19 03:06:59,982 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_write.c' 2024-01-19 03:06:59,983 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_write0.c' 2024-01-19 03:06:59,984 wheel INFO adding 'pythondata_software_picolibc/data/semihost/unlink.c' 2024-01-19 03:06:59,985 wheel INFO adding 'pythondata_software_picolibc/data/semihost/write.c' 2024-01-19 03:06:59,986 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_exit.c' 2024-01-19 03:06:59,987 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_io.c' 2024-01-19 03:06:59,988 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_kill.c' 2024-01-19 03:06:59,988 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_stub.c' 2024-01-19 03:06:59,989 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/meson.build' 2024-01-19 03:06:59,990 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build' 2024-01-19 03:06:59,991 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S' 2024-01-19 03:06:59,992 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt' 2024-01-19 03:06:59,993 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/meson.build' 2024-01-19 03:06:59,994 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S' 2024-01-19 03:06:59,995 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/riscv/meson.build' 2024-01-19 03:06:59,996 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s' 2024-01-19 03:06:59,997 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/bios.S' 2024-01-19 03:06:59,998 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/bios.ld' 2024-01-19 03:06:59,999 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c' 2024-01-19 03:07:00,000 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c' 2024-01-19 03:07:00,001 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c' 2024-01-19 03:07:00,002 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c' 2024-01-19 03:07:00,002 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/meson.build' 2024-01-19 03:07:00,004 wheel INFO adding 'pythondata_software_picolibc/data/test/CMakeLists.txt' 2024-01-19 03:07:00,005 wheel INFO adding 'pythondata_software_picolibc/data/test/abort.c' 2024-01-19 03:07:00,005 wheel INFO adding 'pythondata_software_picolibc/data/test/atexit.c' 2024-01-19 03:07:00,006 wheel INFO adding 'pythondata_software_picolibc/data/test/complex-funcs.c' 2024-01-19 03:07:00,007 wheel INFO adding 'pythondata_software_picolibc/data/test/constructor-skip.c' 2024-01-19 03:07:00,008 wheel INFO adding 'pythondata_software_picolibc/data/test/constructor.c' 2024-01-19 03:07:00,009 wheel INFO adding 'pythondata_software_picolibc/data/test/fenv.c' 2024-01-19 03:07:00,010 wheel INFO adding 'pythondata_software_picolibc/data/test/ffs.c' 2024-01-19 03:07:00,011 wheel INFO adding 'pythondata_software_picolibc/data/test/hosted-exit.c' 2024-01-19 03:07:00,012 wheel INFO adding 'pythondata_software_picolibc/data/test/lock-valid.c' 2024-01-19 03:07:00,013 wheel INFO adding 'pythondata_software_picolibc/data/test/malloc.c' 2024-01-19 03:07:00,014 wheel INFO adding 'pythondata_software_picolibc/data/test/malloc_stress.c' 2024-01-19 03:07:00,015 wheel INFO adding 'pythondata_software_picolibc/data/test/math-funcs.c' 2024-01-19 03:07:00,016 wheel INFO adding 'pythondata_software_picolibc/data/test/math_errhandling.c' 2024-01-19 03:07:00,017 wheel INFO adding 'pythondata_software_picolibc/data/test/math_errhandling_tests.c' 2024-01-19 03:07:00,019 wheel INFO adding 'pythondata_software_picolibc/data/test/meson.build' 2024-01-19 03:07:00,020 wheel INFO adding 'pythondata_software_picolibc/data/test/on_exit.c' 2024-01-19 03:07:00,021 wheel INFO adding 'pythondata_software_picolibc/data/test/posix-io.c' 2024-01-19 03:07:00,022 wheel INFO adding 'pythondata_software_picolibc/data/test/printf-tests.c' 2024-01-19 03:07:00,023 wheel INFO adding 'pythondata_software_picolibc/data/test/printf_scanf.c' 2024-01-19 03:07:00,024 wheel INFO adding 'pythondata_software_picolibc/data/test/rand.c' 2024-01-19 03:07:00,025 wheel INFO adding 'pythondata_software_picolibc/data/test/regex.c' 2024-01-19 03:07:00,026 wheel INFO adding 'pythondata_software_picolibc/data/test/rounding-mode-sub.c' 2024-01-19 03:07:00,027 wheel INFO adding 'pythondata_software_picolibc/data/test/rounding-mode.c' 2024-01-19 03:07:00,028 wheel INFO adding 'pythondata_software_picolibc/data/test/setjmp.c' 2024-01-19 03:07:00,028 wheel INFO adding 'pythondata_software_picolibc/data/test/stack-smash.c' 2024-01-19 03:07:00,029 wheel INFO adding 'pythondata_software_picolibc/data/test/test-efcvt.c' 2024-01-19 03:07:00,030 wheel INFO adding 'pythondata_software_picolibc/data/test/test-except.c' 2024-01-19 03:07:00,031 wheel INFO adding 'pythondata_software_picolibc/data/test/test-fopen.c' 2024-01-19 03:07:00,032 wheel INFO adding 'pythondata_software_picolibc/data/test/test-memset.c' 2024-01-19 03:07:00,033 wheel INFO adding 'pythondata_software_picolibc/data/test/test-mktemp.c' 2024-01-19 03:07:00,034 wheel INFO adding 'pythondata_software_picolibc/data/test/test-put.c' 2024-01-19 03:07:00,035 wheel INFO adding 'pythondata_software_picolibc/data/test/test-strchr.c' 2024-01-19 03:07:00,036 wheel INFO adding 'pythondata_software_picolibc/data/test/test-strtod.c' 2024-01-19 03:07:00,037 wheel INFO adding 'pythondata_software_picolibc/data/test/testcases.c' 2024-01-19 03:07:00,038 wheel INFO adding 'pythondata_software_picolibc/data/test/time-sprintf.c' 2024-01-19 03:07:00,039 wheel INFO adding 'pythondata_software_picolibc/data/test/time-tests.c' 2024-01-19 03:07:00,040 wheel INFO adding 'pythondata_software_picolibc/data/test/timegm.c' 2024-01-19 03:07:00,042 wheel INFO adding 'pythondata_software_picolibc/data/test/timegm.h' 2024-01-19 03:07:00,048 wheel INFO adding 'pythondata_software_picolibc/data/test/tls.c' 2024-01-19 03:07:00,049 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32-sub.c' 2024-01-19 03:07:00,050 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32.c' 2024-01-19 03:07:00,051 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32.h' 2024-01-19 03:07:00,052 wheel INFO adding 'pythondata_software_picolibc/data/test/ungetc.c' 2024-01-19 03:07:00,053 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/basename.c' 2024-01-19 03:07:00,054 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/dirname.c' 2024-01-19 03:07:00,055 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c' 2024-01-19 03:07:00,056 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/meson.build' 2024-01-19 03:07:00,057 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/qsort.c' 2024-01-19 03:07:00,058 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c' 2024-01-19 03:07:00,059 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c' 2024-01-19 03:07:00,060 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/string.c' 2024-01-19 03:07:00,060 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/strtod.c' 2024-01-19 03:07:00,061 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/strtol.c' 2024-01-19 03:07:00,062 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/testcase.h' 2024-01-19 03:07:00,063 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/meson.build' 2024-01-19 03:07:00,064 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-argv.c' 2024-01-19 03:07:00,065 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-clock.c' 2024-01-19 03:07:00,066 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-close.c' 2024-01-19 03:07:00,067 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c' 2024-01-19 03:07:00,068 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-errno.c' 2024-01-19 03:07:00,069 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c' 2024-01-19 03:07:00,070 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c' 2024-01-19 03:07:00,071 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c' 2024-01-19 03:07:00,072 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit.c' 2024-01-19 03:07:00,072 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-flen.c' 2024-01-19 03:07:00,073 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c' 2024-01-19 03:07:00,074 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c' 2024-01-19 03:07:00,075 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c' 2024-01-19 03:07:00,076 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-iserror.c' 2024-01-19 03:07:00,077 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-istty.c' 2024-01-19 03:07:00,078 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-open.c' 2024-01-19 03:07:00,079 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-read.c' 2024-01-19 03:07:00,080 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-readc.c' 2024-01-19 03:07:00,081 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-remove.c' 2024-01-19 03:07:00,082 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-rename.c' 2024-01-19 03:07:00,082 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-seek.c' 2024-01-19 03:07:00,083 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c' 2024-01-19 03:07:00,084 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-system.c' 2024-01-19 03:07:00,085 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c' 2024-01-19 03:07:00,086 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-time.c' 2024-01-19 03:07:00,087 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c' 2024-01-19 03:07:00,088 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c' 2024-01-19 03:07:00,089 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-write.c' 2024-01-19 03:07:00,090 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-write0.c' 2024-01-19 03:07:00,090 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-writec.c' 2024-01-19 03:07:00,091 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/Kconfig' 2024-01-19 03:07:00,092 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/module.yml' 2024-01-19 03:07:00,093 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/zephyr.cmake' 2024-01-19 03:07:00,095 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/LICENSE' 2024-01-19 03:07:00,095 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/METADATA' 2024-01-19 03:07:00,096 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/WHEEL' 2024-01-19 03:07:00,097 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/top_level.txt' 2024-01-19 03:07:00,194 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/RECORD' 2024-01-19 03:07:00,264 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:07:00,393 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl --> Building LiteX Hub module valentyusb 2024-01-19 03:07:01,475 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 03:07:01,649 root INFO running bdist_wheel 2024-01-19 03:07:01,724 root INFO running build 2024-01-19 03:07:01,724 root INFO running build_py 2024-01-19 03:07:01,735 root INFO creating build 2024-01-19 03:07:01,735 root INFO creating build/lib 2024-01-19 03:07:01,736 root INFO creating build/lib/valentyusb 2024-01-19 03:07:01,736 root INFO copying valentyusb/__init__.py -> build/lib/valentyusb 2024-01-19 03:07:01,739 root INFO creating build/lib/valentyusb/usbcore 2024-01-19 03:07:01,739 root INFO copying valentyusb/usbcore/__init__.py -> build/lib/valentyusb/usbcore 2024-01-19 03:07:01,740 root INFO copying valentyusb/usbcore/endpoint.py -> build/lib/valentyusb/usbcore 2024-01-19 03:07:01,741 root INFO copying valentyusb/usbcore/io.py -> build/lib/valentyusb/usbcore 2024-01-19 03:07:01,742 root INFO copying valentyusb/usbcore/pid.py -> build/lib/valentyusb/usbcore 2024-01-19 03:07:01,742 root INFO copying valentyusb/usbcore/io_test.py -> build/lib/valentyusb/usbcore 2024-01-19 03:07:01,744 root INFO creating build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,745 root INFO copying valentyusb/usbcore/sm/__init__.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,745 root INFO copying valentyusb/usbcore/sm/header_test.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,746 root INFO copying valentyusb/usbcore/sm/transfer_test.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,747 root INFO copying valentyusb/usbcore/sm/send.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,748 root INFO copying valentyusb/usbcore/sm/transfer.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,749 root INFO copying valentyusb/usbcore/sm/send_test.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,750 root INFO copying valentyusb/usbcore/sm/header.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 03:07:01,752 root INFO creating build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,752 root INFO copying valentyusb/usbcore/rx/shifter.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,753 root INFO copying valentyusb/usbcore/rx/bitstuff.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,754 root INFO copying valentyusb/usbcore/rx/nrzi_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,754 root INFO copying valentyusb/usbcore/rx/nrzi.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,755 root INFO copying valentyusb/usbcore/rx/clock.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,756 root INFO copying valentyusb/usbcore/rx/crc_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,757 root INFO copying valentyusb/usbcore/rx/__init__.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,758 root INFO copying valentyusb/usbcore/rx/detect_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,758 root INFO copying valentyusb/usbcore/rx/bitstuff_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,759 root INFO copying valentyusb/usbcore/rx/detect.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,760 root INFO copying valentyusb/usbcore/rx/clock_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,761 root INFO copying valentyusb/usbcore/rx/crc.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,762 root INFO copying valentyusb/usbcore/rx/shifter_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,762 root INFO copying valentyusb/usbcore/rx/pipeline_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,763 root INFO copying valentyusb/usbcore/rx/pipeline.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 03:07:01,765 root INFO creating build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,766 root INFO copying valentyusb/usbcore/cpu/cdc_eptri.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,766 root INFO copying valentyusb/usbcore/cpu/__init__.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,767 root INFO copying valentyusb/usbcore/cpu/eptri.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,768 root INFO copying valentyusb/usbcore/cpu/epmem_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,769 root INFO copying valentyusb/usbcore/cpu/unififo_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,770 root INFO copying valentyusb/usbcore/cpu/epfifo_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,771 root INFO copying valentyusb/usbcore/cpu/eptri_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,771 root INFO copying valentyusb/usbcore/cpu/epmem.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,772 root INFO copying valentyusb/usbcore/cpu/dummyusb.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,773 root INFO copying valentyusb/usbcore/cpu/epfifo.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,774 root INFO copying valentyusb/usbcore/cpu/unififo.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,775 root INFO copying valentyusb/usbcore/cpu/usbwishbonebridge.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 03:07:01,776 root INFO creating build/lib/valentyusb/usbcore/test 2024-01-19 03:07:01,777 root INFO copying valentyusb/usbcore/test/clock.py -> build/lib/valentyusb/usbcore/test 2024-01-19 03:07:01,777 root INFO copying valentyusb/usbcore/test/common.py -> build/lib/valentyusb/usbcore/test 2024-01-19 03:07:01,778 root INFO copying valentyusb/usbcore/test/__init__.py -> build/lib/valentyusb/usbcore/test 2024-01-19 03:07:01,780 root INFO creating build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,780 root INFO copying valentyusb/usbcore/utils/sdiff.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,781 root INFO copying valentyusb/usbcore/utils/pprint.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,782 root INFO copying valentyusb/usbcore/utils/packet.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,783 root INFO copying valentyusb/usbcore/utils/__init__.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,784 root INFO copying valentyusb/usbcore/utils/CrcMoose3.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,785 root INFO copying valentyusb/usbcore/utils/asserts.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,785 root INFO copying valentyusb/usbcore/utils/vcd.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,786 root INFO copying valentyusb/usbcore/utils/bits.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 03:07:01,788 root INFO creating build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,788 root INFO copying valentyusb/usbcore/tx/shifter.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,789 root INFO copying valentyusb/usbcore/tx/bitstuff.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,790 root INFO copying valentyusb/usbcore/tx/nrzi_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,790 root INFO copying valentyusb/usbcore/tx/nrzi.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,791 root INFO copying valentyusb/usbcore/tx/crc_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,792 root INFO copying valentyusb/usbcore/tx/__init__.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,793 root INFO copying valentyusb/usbcore/tx/bitstuff_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,793 root INFO copying valentyusb/usbcore/tx/tester.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,794 root INFO copying valentyusb/usbcore/tx/crc.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,795 root INFO copying valentyusb/usbcore/tx/shifter_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,796 root INFO copying valentyusb/usbcore/tx/pipeline_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,797 root INFO copying valentyusb/usbcore/tx/pipeline.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 03:07:01,797 root INFO running egg_info 2024-01-19 03:07:01,798 root INFO creating valentyusb.egg-info 2024-01-19 03:07:01,804 root INFO writing valentyusb.egg-info/PKG-INFO 2024-01-19 03:07:01,807 root INFO writing dependency_links to valentyusb.egg-info/dependency_links.txt 2024-01-19 03:07:01,808 root INFO writing top-level names to valentyusb.egg-info/top_level.txt 2024-01-19 03:07:01,809 root INFO writing manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-01-19 03:07:01,828 root INFO reading manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-01-19 03:07:01,829 root INFO adding license file 'LICENSE' 2024-01-19 03:07:01,835 root INFO writing manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-01-19 03:07:01,870 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-01-19 03:07:01,870 root INFO running install 2024-01-19 03:07:01,932 root INFO running install_lib 2024-01-19 03:07:01,941 root INFO creating build/bdist.linux-riscv64 2024-01-19 03:07:01,941 root INFO creating build/bdist.linux-riscv64/wheel 2024-01-19 03:07:01,942 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb 2024-01-19 03:07:01,942 root INFO copying build/lib/valentyusb/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb 2024-01-19 03:07:01,943 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-01-19 03:07:01,943 root INFO copying build/lib/valentyusb/usbcore/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-01-19 03:07:01,944 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,945 root INFO copying build/lib/valentyusb/usbcore/sm/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,945 root INFO copying build/lib/valentyusb/usbcore/sm/header_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,946 root INFO copying build/lib/valentyusb/usbcore/sm/transfer_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,947 root INFO copying build/lib/valentyusb/usbcore/sm/send.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,947 root INFO copying build/lib/valentyusb/usbcore/sm/transfer.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,948 root INFO copying build/lib/valentyusb/usbcore/sm/send_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,949 root INFO copying build/lib/valentyusb/usbcore/sm/header.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-01-19 03:07:01,949 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,950 root INFO copying build/lib/valentyusb/usbcore/rx/shifter.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,951 root INFO copying build/lib/valentyusb/usbcore/rx/bitstuff.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,951 root INFO copying build/lib/valentyusb/usbcore/rx/nrzi_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,952 root INFO copying build/lib/valentyusb/usbcore/rx/nrzi.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,953 root INFO copying build/lib/valentyusb/usbcore/rx/clock.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,953 root INFO copying build/lib/valentyusb/usbcore/rx/crc_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,954 root INFO copying build/lib/valentyusb/usbcore/rx/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,955 root INFO copying build/lib/valentyusb/usbcore/rx/detect_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,955 root INFO copying build/lib/valentyusb/usbcore/rx/bitstuff_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,956 root INFO copying build/lib/valentyusb/usbcore/rx/detect.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,957 root INFO copying build/lib/valentyusb/usbcore/rx/clock_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,957 root INFO copying build/lib/valentyusb/usbcore/rx/crc.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,958 root INFO copying build/lib/valentyusb/usbcore/rx/shifter_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,959 root INFO copying build/lib/valentyusb/usbcore/rx/pipeline_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,959 root INFO copying build/lib/valentyusb/usbcore/rx/pipeline.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-01-19 03:07:01,960 root INFO copying build/lib/valentyusb/usbcore/endpoint.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-01-19 03:07:01,961 root INFO copying build/lib/valentyusb/usbcore/io.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-01-19 03:07:01,961 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,962 root INFO copying build/lib/valentyusb/usbcore/cpu/cdc_eptri.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,962 root INFO copying build/lib/valentyusb/usbcore/cpu/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,963 root INFO copying build/lib/valentyusb/usbcore/cpu/eptri.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,964 root INFO copying build/lib/valentyusb/usbcore/cpu/epmem_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,964 root INFO copying build/lib/valentyusb/usbcore/cpu/unififo_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,965 root INFO copying build/lib/valentyusb/usbcore/cpu/epfifo_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,966 root INFO copying build/lib/valentyusb/usbcore/cpu/eptri_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,967 root INFO copying build/lib/valentyusb/usbcore/cpu/epmem.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,967 root INFO copying build/lib/valentyusb/usbcore/cpu/dummyusb.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,968 root INFO copying build/lib/valentyusb/usbcore/cpu/epfifo.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,969 root INFO copying build/lib/valentyusb/usbcore/cpu/unififo.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,969 root INFO copying build/lib/valentyusb/usbcore/cpu/usbwishbonebridge.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-01-19 03:07:01,970 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-01-19 03:07:01,971 root INFO copying build/lib/valentyusb/usbcore/test/clock.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-01-19 03:07:01,971 root INFO copying build/lib/valentyusb/usbcore/test/common.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-01-19 03:07:01,972 root INFO copying build/lib/valentyusb/usbcore/test/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-01-19 03:07:01,973 root INFO copying build/lib/valentyusb/usbcore/pid.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-01-19 03:07:01,973 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,974 root INFO copying build/lib/valentyusb/usbcore/utils/sdiff.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,975 root INFO copying build/lib/valentyusb/usbcore/utils/pprint.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,975 root INFO copying build/lib/valentyusb/usbcore/utils/packet.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,976 root INFO copying build/lib/valentyusb/usbcore/utils/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,977 root INFO copying build/lib/valentyusb/usbcore/utils/CrcMoose3.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,977 root INFO copying build/lib/valentyusb/usbcore/utils/asserts.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,978 root INFO copying build/lib/valentyusb/usbcore/utils/vcd.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,979 root INFO copying build/lib/valentyusb/usbcore/utils/bits.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-01-19 03:07:01,979 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,980 root INFO copying build/lib/valentyusb/usbcore/tx/shifter.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,980 root INFO copying build/lib/valentyusb/usbcore/tx/bitstuff.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,981 root INFO copying build/lib/valentyusb/usbcore/tx/nrzi_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,982 root INFO copying build/lib/valentyusb/usbcore/tx/nrzi.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,982 root INFO copying build/lib/valentyusb/usbcore/tx/crc_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,983 root INFO copying build/lib/valentyusb/usbcore/tx/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,984 root INFO copying build/lib/valentyusb/usbcore/tx/bitstuff_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,984 root INFO copying build/lib/valentyusb/usbcore/tx/tester.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,985 root INFO copying build/lib/valentyusb/usbcore/tx/crc.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,986 root INFO copying build/lib/valentyusb/usbcore/tx/shifter_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,987 root INFO copying build/lib/valentyusb/usbcore/tx/pipeline_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,987 root INFO copying build/lib/valentyusb/usbcore/tx/pipeline.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-01-19 03:07:01,988 root INFO copying build/lib/valentyusb/usbcore/io_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-01-19 03:07:01,988 root INFO running install_egg_info 2024-01-19 03:07:02,004 root INFO Copying valentyusb.egg-info to build/bdist.linux-riscv64/wheel/valentyusb-0.0.0-py3.11.egg-info 2024-01-19 03:07:02,008 root INFO running install_scripts 2024-01-19 03:07:02,015 wheel INFO creating build/bdist.linux-riscv64/wheel/valentyusb-0.0.0.dist-info/WHEEL 2024-01-19 03:07:02,017 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-a3b3ibp3/valentyusb-0.0.0-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-01-19 03:07:02,019 wheel INFO adding 'valentyusb/__init__.py' 2024-01-19 03:07:02,023 wheel INFO adding 'valentyusb/usbcore/__init__.py' 2024-01-19 03:07:02,024 wheel INFO adding 'valentyusb/usbcore/endpoint.py' 2024-01-19 03:07:02,026 wheel INFO adding 'valentyusb/usbcore/io.py' 2024-01-19 03:07:02,027 wheel INFO adding 'valentyusb/usbcore/io_test.py' 2024-01-19 03:07:02,028 wheel INFO adding 'valentyusb/usbcore/pid.py' 2024-01-19 03:07:02,029 wheel INFO adding 'valentyusb/usbcore/cpu/__init__.py' 2024-01-19 03:07:02,030 wheel INFO adding 'valentyusb/usbcore/cpu/cdc_eptri.py' 2024-01-19 03:07:02,031 wheel INFO adding 'valentyusb/usbcore/cpu/dummyusb.py' 2024-01-19 03:07:02,033 wheel INFO adding 'valentyusb/usbcore/cpu/epfifo.py' 2024-01-19 03:07:02,034 wheel INFO adding 'valentyusb/usbcore/cpu/epfifo_test.py' 2024-01-19 03:07:02,035 wheel INFO adding 'valentyusb/usbcore/cpu/epmem.py' 2024-01-19 03:07:02,036 wheel INFO adding 'valentyusb/usbcore/cpu/epmem_test.py' 2024-01-19 03:07:02,037 wheel INFO adding 'valentyusb/usbcore/cpu/eptri.py' 2024-01-19 03:07:02,038 wheel INFO adding 'valentyusb/usbcore/cpu/eptri_test.py' 2024-01-19 03:07:02,039 wheel INFO adding 'valentyusb/usbcore/cpu/unififo.py' 2024-01-19 03:07:02,040 wheel INFO adding 'valentyusb/usbcore/cpu/unififo_test.py' 2024-01-19 03:07:02,042 wheel INFO adding 'valentyusb/usbcore/cpu/usbwishbonebridge.py' 2024-01-19 03:07:02,043 wheel INFO adding 'valentyusb/usbcore/rx/__init__.py' 2024-01-19 03:07:02,044 wheel INFO adding 'valentyusb/usbcore/rx/bitstuff.py' 2024-01-19 03:07:02,045 wheel INFO adding 'valentyusb/usbcore/rx/bitstuff_test.py' 2024-01-19 03:07:02,046 wheel INFO adding 'valentyusb/usbcore/rx/clock.py' 2024-01-19 03:07:02,047 wheel INFO adding 'valentyusb/usbcore/rx/clock_test.py' 2024-01-19 03:07:02,048 wheel INFO adding 'valentyusb/usbcore/rx/crc.py' 2024-01-19 03:07:02,048 wheel INFO adding 'valentyusb/usbcore/rx/crc_test.py' 2024-01-19 03:07:02,049 wheel INFO adding 'valentyusb/usbcore/rx/detect.py' 2024-01-19 03:07:02,050 wheel INFO adding 'valentyusb/usbcore/rx/detect_test.py' 2024-01-19 03:07:02,051 wheel INFO adding 'valentyusb/usbcore/rx/nrzi.py' 2024-01-19 03:07:02,052 wheel INFO adding 'valentyusb/usbcore/rx/nrzi_test.py' 2024-01-19 03:07:02,053 wheel INFO adding 'valentyusb/usbcore/rx/pipeline.py' 2024-01-19 03:07:02,054 wheel INFO adding 'valentyusb/usbcore/rx/pipeline_test.py' 2024-01-19 03:07:02,055 wheel INFO adding 'valentyusb/usbcore/rx/shifter.py' 2024-01-19 03:07:02,056 wheel INFO adding 'valentyusb/usbcore/rx/shifter_test.py' 2024-01-19 03:07:02,057 wheel INFO adding 'valentyusb/usbcore/sm/__init__.py' 2024-01-19 03:07:02,058 wheel INFO adding 'valentyusb/usbcore/sm/header.py' 2024-01-19 03:07:02,059 wheel INFO adding 'valentyusb/usbcore/sm/header_test.py' 2024-01-19 03:07:02,060 wheel INFO adding 'valentyusb/usbcore/sm/send.py' 2024-01-19 03:07:02,061 wheel INFO adding 'valentyusb/usbcore/sm/send_test.py' 2024-01-19 03:07:02,062 wheel INFO adding 'valentyusb/usbcore/sm/transfer.py' 2024-01-19 03:07:02,063 wheel INFO adding 'valentyusb/usbcore/sm/transfer_test.py' 2024-01-19 03:07:02,064 wheel INFO adding 'valentyusb/usbcore/test/__init__.py' 2024-01-19 03:07:02,065 wheel INFO adding 'valentyusb/usbcore/test/clock.py' 2024-01-19 03:07:02,066 wheel INFO adding 'valentyusb/usbcore/test/common.py' 2024-01-19 03:07:02,068 wheel INFO adding 'valentyusb/usbcore/tx/__init__.py' 2024-01-19 03:07:02,069 wheel INFO adding 'valentyusb/usbcore/tx/bitstuff.py' 2024-01-19 03:07:02,069 wheel INFO adding 'valentyusb/usbcore/tx/bitstuff_test.py' 2024-01-19 03:07:02,070 wheel INFO adding 'valentyusb/usbcore/tx/crc.py' 2024-01-19 03:07:02,071 wheel INFO adding 'valentyusb/usbcore/tx/crc_test.py' 2024-01-19 03:07:02,072 wheel INFO adding 'valentyusb/usbcore/tx/nrzi.py' 2024-01-19 03:07:02,073 wheel INFO adding 'valentyusb/usbcore/tx/nrzi_test.py' 2024-01-19 03:07:02,074 wheel INFO adding 'valentyusb/usbcore/tx/pipeline.py' 2024-01-19 03:07:02,075 wheel INFO adding 'valentyusb/usbcore/tx/pipeline_test.py' 2024-01-19 03:07:02,076 wheel INFO adding 'valentyusb/usbcore/tx/shifter.py' 2024-01-19 03:07:02,077 wheel INFO adding 'valentyusb/usbcore/tx/shifter_test.py' 2024-01-19 03:07:02,078 wheel INFO adding 'valentyusb/usbcore/tx/tester.py' 2024-01-19 03:07:02,079 wheel INFO adding 'valentyusb/usbcore/utils/CrcMoose3.py' 2024-01-19 03:07:02,080 wheel INFO adding 'valentyusb/usbcore/utils/__init__.py' 2024-01-19 03:07:02,081 wheel INFO adding 'valentyusb/usbcore/utils/asserts.py' 2024-01-19 03:07:02,082 wheel INFO adding 'valentyusb/usbcore/utils/bits.py' 2024-01-19 03:07:02,083 wheel INFO adding 'valentyusb/usbcore/utils/packet.py' 2024-01-19 03:07:02,084 wheel INFO adding 'valentyusb/usbcore/utils/pprint.py' 2024-01-19 03:07:02,086 wheel INFO adding 'valentyusb/usbcore/utils/sdiff.py' 2024-01-19 03:07:02,088 wheel INFO adding 'valentyusb/usbcore/utils/vcd.py' 2024-01-19 03:07:02,090 wheel INFO adding 'valentyusb-0.0.0.dist-info/LICENSE' 2024-01-19 03:07:02,090 wheel INFO adding 'valentyusb-0.0.0.dist-info/METADATA' 2024-01-19 03:07:02,091 wheel INFO adding 'valentyusb-0.0.0.dist-info/WHEEL' 2024-01-19 03:07:02,092 wheel INFO adding 'valentyusb-0.0.0.dist-info/top_level.txt' 2024-01-19 03:07:02,095 wheel INFO adding 'valentyusb-0.0.0.dist-info/RECORD' 2024-01-19 03:07:02,097 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-01-19 03:07:02,102 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/valentyusb-0.0.0-py3-none-any.whl valentyusb-0.0.0-py3-none-any.whl >>> py3-litex-hub-modules: Entering fakeroot... >>> py3-litex-hub-modules-pyc*: Running split function pyc... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/docs/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/docs/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/debug/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/debug/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/sm/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/sm/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/rx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/rx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/cpu/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/cpu/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/utils/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/utils/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/tx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/tx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/util/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/util/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/syn/python/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/syn/python/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/doc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/doc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/system_verilog/tools/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/system_verilog/tools/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/asan/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/asan/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/dfsan/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/dfsan/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/test/asan/android_commands/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/test/asan/android_commands/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_picolibc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc/data/newlib/doc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_picolibc/data/newlib/doc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/picosoc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/picosoc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/firmware/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/firmware/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/torture/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/torture/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/romload/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/romload/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litesdcard/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litesdcard/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/liteeth/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/liteeth/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/extras/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/extras/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/sw/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/sw/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/riscv-target/serv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/riscv-target/serv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_lm32/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_rocket/__pycache__' >>> py3-litex-hub-modules-pyc*: Preparing subpackage py3-litex-hub-modules-pyc... >>> py3-litex-hub-modules-pyc*: Running postcheck for py3-litex-hub-modules-pyc >>> py3-litex-hub-pythondata-cpu-blackparrot*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-blackparrot/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot-0.0.post1817.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-blackparrot/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot-0.0.post1817.dist-info' >>> py3-litex-hub-pythondata-cpu-blackparrot*: Preparing subpackage py3-litex-hub-pythondata-cpu-blackparrot... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Running postcheck for py3-litex-hub-pythondata-cpu-blackparrot >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e40p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p-0.0.post152.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e40p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p-0.0.post152.dist-info' >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Preparing subpackage py3-litex-hub-pythondata-cpu-cv32e40p... >>> WARNING: py3-litex-hub-pythondata-cpu-cv32e40p*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Running postcheck for py3-litex-hub-pythondata-cpu-cv32e40p >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e41p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p-0.0.post1883.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e41p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p-0.0.post1883.dist-info' >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Preparing subpackage py3-litex-hub-pythondata-cpu-cv32e41p... >>> WARNING: py3-litex-hub-pythondata-cpu-cv32e41p*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Running postcheck for py3-litex-hub-pythondata-cpu-cv32e41p >>> py3-litex-hub-pythondata-cpu-cva5*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva5/usr/lib/python3.11/site-packages/pythondata_cpu_cva5' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5-0.0.post649.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva5/usr/lib/python3.11/site-packages/pythondata_cpu_cva5-0.0.post649.dist-info' >>> py3-litex-hub-pythondata-cpu-cva5*: Preparing subpackage py3-litex-hub-pythondata-cpu-cva5... >>> WARNING: py3-litex-hub-pythondata-cpu-cva5*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cva5*: Running postcheck for py3-litex-hub-pythondata-cpu-cva5 >>> py3-litex-hub-pythondata-cpu-cva6*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva6/usr/lib/python3.11/site-packages/pythondata_cpu_cva6' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6-4.2.0.post435.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva6/usr/lib/python3.11/site-packages/pythondata_cpu_cva6-4.2.0.post435.dist-info' >>> py3-litex-hub-pythondata-cpu-cva6*: Preparing subpackage py3-litex-hub-pythondata-cpu-cva6... >>> py3-litex-hub-pythondata-cpu-cva6*: Running postcheck for py3-litex-hub-pythondata-cpu-cva6 >>> py3-litex-hub-pythondata-cpu-ibex*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-ibex/usr/lib/python3.11/site-packages/pythondata_cpu_ibex' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex-0.0.post2214.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-ibex/usr/lib/python3.11/site-packages/pythondata_cpu_ibex-0.0.post2214.dist-info' >>> py3-litex-hub-pythondata-cpu-ibex*: Preparing subpackage py3-litex-hub-pythondata-cpu-ibex... >>> WARNING: py3-litex-hub-pythondata-cpu-ibex*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-ibex*: Running postcheck for py3-litex-hub-pythondata-cpu-ibex >>> py3-litex-hub-pythondata-cpu-lm32*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-lm32/usr/lib/python3.11/site-packages/pythondata_cpu_lm32' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32-0.0.post106.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-lm32/usr/lib/python3.11/site-packages/pythondata_cpu_lm32-0.0.post106.dist-info' >>> py3-litex-hub-pythondata-cpu-lm32*: Preparing subpackage py3-litex-hub-pythondata-cpu-lm32... >>> WARNING: py3-litex-hub-pythondata-cpu-lm32*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-lm32*: Running postcheck for py3-litex-hub-pythondata-cpu-lm32 >>> py3-litex-hub-pythondata-cpu-marocchino*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-marocchino/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino-0.0.post209.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-marocchino/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino-0.0.post209.dist-info' >>> py3-litex-hub-pythondata-cpu-marocchino*: Preparing subpackage py3-litex-hub-pythondata-cpu-marocchino... >>> WARNING: py3-litex-hub-pythondata-cpu-marocchino*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-marocchino*: Running postcheck for py3-litex-hub-pythondata-cpu-marocchino >>> py3-litex-hub-pythondata-cpu-microwatt*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-microwatt/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt-0.0.post1409.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-microwatt/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt-0.0.post1409.dist-info' >>> py3-litex-hub-pythondata-cpu-microwatt*: Preparing subpackage py3-litex-hub-pythondata-cpu-microwatt... >>> py3-litex-hub-pythondata-cpu-microwatt*: Running postcheck for py3-litex-hub-pythondata-cpu-microwatt >>> py3-litex-hub-pythondata-cpu-minerva*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-minerva/usr/lib/python3.11/site-packages/pythondata_cpu_minerva' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva-0.0.post262.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-minerva/usr/lib/python3.11/site-packages/pythondata_cpu_minerva-0.0.post262.dist-info' >>> py3-litex-hub-pythondata-cpu-minerva*: Preparing subpackage py3-litex-hub-pythondata-cpu-minerva... >>> WARNING: py3-litex-hub-pythondata-cpu-minerva*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-minerva*: Running postcheck for py3-litex-hub-pythondata-cpu-minerva >>> py3-litex-hub-pythondata-cpu-mor1kx*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-mor1kx/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx-5.0.post125.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-mor1kx/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx-5.0.post125.dist-info' >>> py3-litex-hub-pythondata-cpu-mor1kx*: Preparing subpackage py3-litex-hub-pythondata-cpu-mor1kx... >>> WARNING: py3-litex-hub-pythondata-cpu-mor1kx*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-mor1kx*: Running postcheck for py3-litex-hub-pythondata-cpu-mor1kx >>> py3-litex-hub-pythondata-cpu-naxriscv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-naxriscv/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv-1.0.1.post325.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-naxriscv/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv-1.0.1.post325.dist-info' >>> py3-litex-hub-pythondata-cpu-naxriscv*: Preparing subpackage py3-litex-hub-pythondata-cpu-naxriscv... >>> WARNING: py3-litex-hub-pythondata-cpu-naxriscv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-naxriscv*: Running postcheck for py3-litex-hub-pythondata-cpu-naxriscv >>> py3-litex-hub-pythondata-cpu-picorv32*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-picorv32/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32-1.0.post88.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-picorv32/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32-1.0.post88.dist-info' >>> py3-litex-hub-pythondata-cpu-picorv32*: Preparing subpackage py3-litex-hub-pythondata-cpu-picorv32... >>> WARNING: py3-litex-hub-pythondata-cpu-picorv32*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-picorv32*: Running postcheck for py3-litex-hub-pythondata-cpu-picorv32 >>> py3-litex-hub-pythondata-cpu-rocket*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-rocket/usr/lib/python3.11/site-packages/pythondata_cpu_rocket' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket-0.0.post7053.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-rocket/usr/lib/python3.11/site-packages/pythondata_cpu_rocket-0.0.post7053.dist-info' >>> py3-litex-hub-pythondata-cpu-rocket*: Preparing subpackage py3-litex-hub-pythondata-cpu-rocket... >>> WARNING: py3-litex-hub-pythondata-cpu-rocket*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-rocket*: Running postcheck for py3-litex-hub-pythondata-cpu-rocket >>> py3-litex-hub-pythondata-cpu-serv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-serv/usr/lib/python3.11/site-packages/pythondata_cpu_serv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv-1.0.post66.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-serv/usr/lib/python3.11/site-packages/pythondata_cpu_serv-1.0.post66.dist-info' >>> py3-litex-hub-pythondata-cpu-serv*: Preparing subpackage py3-litex-hub-pythondata-cpu-serv... >>> WARNING: py3-litex-hub-pythondata-cpu-serv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-serv*: Running postcheck for py3-litex-hub-pythondata-cpu-serv >>> py3-litex-hub-pythondata-cpu-vexriscv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv-1.0.1.post314.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv-1.0.1.post314.dist-info' >>> py3-litex-hub-pythondata-cpu-vexriscv*: Preparing subpackage py3-litex-hub-pythondata-cpu-vexriscv... >>> WARNING: py3-litex-hub-pythondata-cpu-vexriscv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-vexriscv*: Running postcheck for py3-litex-hub-pythondata-cpu-vexriscv >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv_smp/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv_smp/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info' >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Preparing subpackage py3-litex-hub-pythondata-cpu-vexriscv_smp... >>> WARNING: py3-litex-hub-pythondata-cpu-vexriscv_smp*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Running postcheck for py3-litex-hub-pythondata-cpu-vexriscv_smp >>> py3-litex-hub-pythondata-misc-tapcfg*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-misc-tapcfg/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg-0.0.post424.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-misc-tapcfg/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg-0.0.post424.dist-info' >>> py3-litex-hub-pythondata-misc-tapcfg*: Preparing subpackage py3-litex-hub-pythondata-misc-tapcfg... >>> WARNING: py3-litex-hub-pythondata-misc-tapcfg*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-misc-tapcfg*: Running postcheck for py3-litex-hub-pythondata-misc-tapcfg >>> py3-litex-hub-pythondata-software-compiler_rt*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-compiler_rt/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt-0.0.post6189.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-compiler_rt/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt-0.0.post6189.dist-info' >>> py3-litex-hub-pythondata-software-compiler_rt*: Preparing subpackage py3-litex-hub-pythondata-software-compiler_rt... >>> WARNING: py3-litex-hub-pythondata-software-compiler_rt*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-software-compiler_rt*: Running postcheck for py3-litex-hub-pythondata-software-compiler_rt >>> py3-litex-hub-pythondata-software-picolibc*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-picolibc/usr/lib/python3.11/site-packages/pythondata_software_picolibc' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc-1.7.9.post181.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-picolibc/usr/lib/python3.11/site-packages/pythondata_software_picolibc-1.7.9.post181.dist-info' >>> py3-litex-hub-pythondata-software-picolibc*: Preparing subpackage py3-litex-hub-pythondata-software-picolibc... >>> WARNING: py3-litex-hub-pythondata-software-picolibc*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-software-picolibc*: Running postcheck for py3-litex-hub-pythondata-software-picolibc >>> py3-litex-hub-valentyusb*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-valentyusb/usr/lib/python3.11/site-packages/valentyusb' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb-0.0.0.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-valentyusb/usr/lib/python3.11/site-packages/valentyusb-0.0.0.dist-info' >>> py3-litex-hub-valentyusb*: Preparing subpackage py3-litex-hub-valentyusb... >>> WARNING: py3-litex-hub-valentyusb*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-valentyusb*: Running postcheck for py3-litex-hub-valentyusb >>> py3-litex-hub-modules*: Running postcheck for py3-litex-hub-modules >>> py3-litex-hub-modules*: Preparing package py3-litex-hub-modules... >>> WARNING: py3-litex-hub-modules*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-modules-pyc*: Scanning shared objects >>> py3-litex-hub-modules*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-blackparrot*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cva5*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cva6*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-ibex*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-lm32*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-marocchino*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-microwatt*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-minerva*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-mor1kx*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-naxriscv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-picorv32*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-rocket*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-serv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-vexriscv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Scanning shared objects >>> py3-litex-hub-pythondata-misc-tapcfg*: Scanning shared objects >>> py3-litex-hub-pythondata-software-compiler_rt*: Scanning shared objects >>> py3-litex-hub-pythondata-software-picolibc*: Scanning shared objects >>> py3-litex-hub-valentyusb*: Scanning shared objects >>> py3-litex-hub-modules-pyc*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-modules-pyc*: Package size: 5.9 MB >>> py3-litex-hub-modules-pyc*: Compressing data... >>> py3-litex-hub-modules-pyc*: Create checksum... >>> py3-litex-hub-modules-pyc*: Create py3-litex-hub-modules-pyc-2023.12-r2.apk >>> py3-litex-hub-modules*: Tracing dependencies... >>> py3-litex-hub-modules*: Package size: 4.0 KB >>> py3-litex-hub-modules*: Compressing data... >>> py3-litex-hub-modules*: Create checksum... >>> py3-litex-hub-modules*: Create py3-litex-hub-modules-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-blackparrot*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-blackparrot*: Package size: 19.3 MB >>> py3-litex-hub-pythondata-cpu-blackparrot*: Compressing data... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Create checksum... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Create py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Package size: 7.9 MB >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Create py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Package size: 3.5 MB >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Create py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-cva5*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-cva5*: Package size: 2.4 MB >>> py3-litex-hub-pythondata-cpu-cva5*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cva5*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cva5*: Create py3-litex-hub-pythondata-cpu-cva5-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-cva6*: Tracing dependencies... >>> ERROR: py3-litex-hub-pythondata-cpu-cva6*: libc.so.6: path not found python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 so:libgcc_s.so.1 so:libstdc++.so.6 >>> py3-litex-hub-pythondata-cpu-cva6*: Package size: 19.1 MB >>> py3-litex-hub-pythondata-cpu-cva6*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cva6*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cva6*: Create py3-litex-hub-pythondata-cpu-cva6-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-ibex*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-ibex*: Package size: 11.6 MB >>> py3-litex-hub-pythondata-cpu-ibex*: Compressing data... >>> py3-litex-hub-pythondata-cpu-ibex*: Create checksum... >>> py3-litex-hub-pythondata-cpu-ibex*: Create py3-litex-hub-pythondata-cpu-ibex-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-lm32*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-lm32*: Package size: 868.0 KB >>> py3-litex-hub-pythondata-cpu-lm32*: Compressing data... >>> py3-litex-hub-pythondata-cpu-lm32*: Create checksum... >>> py3-litex-hub-pythondata-cpu-lm32*: Create py3-litex-hub-pythondata-cpu-lm32-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-marocchino*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-marocchino*: Package size: 1.2 MB >>> py3-litex-hub-pythondata-cpu-marocchino*: Compressing data... >>> py3-litex-hub-pythondata-cpu-marocchino*: Create checksum... >>> py3-litex-hub-pythondata-cpu-marocchino*: Create py3-litex-hub-pythondata-cpu-marocchino-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-microwatt*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-microwatt*: Package size: 145.6 MB >>> py3-litex-hub-pythondata-cpu-microwatt*: Compressing data... >>> py3-litex-hub-pythondata-cpu-microwatt*: Create checksum... >>> py3-litex-hub-pythondata-cpu-microwatt*: Create py3-litex-hub-pythondata-cpu-microwatt-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-minerva*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-minerva*: Package size: 348.0 KB >>> py3-litex-hub-pythondata-cpu-minerva*: Compressing data... >>> py3-litex-hub-pythondata-cpu-minerva*: Create checksum... >>> py3-litex-hub-pythondata-cpu-minerva*: Create py3-litex-hub-pythondata-cpu-minerva-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-mor1kx*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-mor1kx*: Package size: 1.1 MB >>> py3-litex-hub-pythondata-cpu-mor1kx*: Compressing data... >>> py3-litex-hub-pythondata-cpu-mor1kx*: Create checksum... >>> py3-litex-hub-pythondata-cpu-mor1kx*: Create py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-naxriscv*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-naxriscv*: Package size: 104.0 KB >>> py3-litex-hub-pythondata-cpu-naxriscv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-naxriscv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-naxriscv*: Create py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-picorv32*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-picorv32*: Package size: 1.5 MB >>> py3-litex-hub-pythondata-cpu-picorv32*: Compressing data... >>> py3-litex-hub-pythondata-cpu-picorv32*: Create checksum... >>> py3-litex-hub-pythondata-cpu-picorv32*: Create py3-litex-hub-pythondata-cpu-picorv32-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-rocket*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-rocket*: Package size: 126.3 MB >>> py3-litex-hub-pythondata-cpu-rocket*: Compressing data... >>> py3-litex-hub-pythondata-cpu-rocket*: Create checksum... >>> py3-litex-hub-pythondata-cpu-rocket*: Create py3-litex-hub-pythondata-cpu-rocket-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-serv*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-serv*: Package size: 528.0 KB >>> py3-litex-hub-pythondata-cpu-serv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-serv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-serv*: Create py3-litex-hub-pythondata-cpu-serv-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-vexriscv*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-vexriscv*: Package size: 2.7 MB >>> py3-litex-hub-pythondata-cpu-vexriscv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-vexriscv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-vexriscv*: Create py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r2.apk >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Package size: 28.0 MB >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Compressing data... >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Create checksum... >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Create py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r2.apk >>> py3-litex-hub-pythondata-misc-tapcfg*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-misc-tapcfg*: Package size: 340.0 KB >>> py3-litex-hub-pythondata-misc-tapcfg*: Compressing data... >>> py3-litex-hub-pythondata-misc-tapcfg*: Create checksum... >>> py3-litex-hub-pythondata-misc-tapcfg*: Create py3-litex-hub-pythondata-misc-tapcfg-2023.12-r2.apk >>> py3-litex-hub-pythondata-software-compiler_rt*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-picolibc py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-software-compiler_rt*: Package size: 32.6 MB >>> py3-litex-hub-pythondata-software-compiler_rt*: Compressing data... >>> py3-litex-hub-pythondata-software-compiler_rt*: Create checksum... >>> py3-litex-hub-pythondata-software-compiler_rt*: Create py3-litex-hub-pythondata-software-compiler_rt-2023.12-r2.apk >>> py3-litex-hub-pythondata-software-picolibc*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-valentyusb python3~3.11 >>> py3-litex-hub-pythondata-software-picolibc*: Package size: 28.7 MB >>> py3-litex-hub-pythondata-software-picolibc*: Compressing data... >>> py3-litex-hub-pythondata-software-picolibc*: Create checksum... >>> py3-litex-hub-pythondata-software-picolibc*: Create py3-litex-hub-pythondata-software-picolibc-2023.12-r2.apk >>> py3-litex-hub-valentyusb*: Tracing dependencies... python3 py3-litex-hub-pythondata-cpu-blackparrot py3-litex-hub-pythondata-cpu-cv32e40p py3-litex-hub-pythondata-cpu-cv32e41p py3-litex-hub-pythondata-cpu-cva5 py3-litex-hub-pythondata-cpu-cva6 py3-litex-hub-pythondata-cpu-ibex py3-litex-hub-pythondata-cpu-lm32 py3-litex-hub-pythondata-cpu-marocchino py3-litex-hub-pythondata-cpu-microwatt py3-litex-hub-pythondata-cpu-minerva py3-litex-hub-pythondata-cpu-mor1kx py3-litex-hub-pythondata-cpu-naxriscv py3-litex-hub-pythondata-cpu-picorv32 py3-litex-hub-pythondata-cpu-rocket py3-litex-hub-pythondata-cpu-serv py3-litex-hub-pythondata-cpu-vexriscv py3-litex-hub-pythondata-cpu-vexriscv_smp py3-litex-hub-pythondata-misc-tapcfg py3-litex-hub-pythondata-software-compiler_rt py3-litex-hub-pythondata-software-picolibc python3~3.11 >>> py3-litex-hub-valentyusb*: Package size: 688.0 KB >>> py3-litex-hub-valentyusb*: Compressing data... >>> py3-litex-hub-valentyusb*: Create checksum... >>> py3-litex-hub-valentyusb*: Create py3-litex-hub-valentyusb-2023.12-r2.apk >>> py3-litex-hub-modules: Build complete at Fri, 19 Jan 2024 03:09:20 +0000 elapsed time 0h 4m 1s >>> py3-litex-hub-modules: Cleaning up srcdir >>> py3-litex-hub-modules: Cleaning up pkgdir >>> py3-litex-hub-modules: Uninstalling dependencies... (1/25) Purging .makedepends-py3-litex-hub-modules (20240119.030526) (2/25) Purging py3-setuptools-pyc (69.0.3-r0) (3/25) Purging py3-setuptools (69.0.3-r0) (4/25) Purging py3-gpep517-pyc (15-r1) (5/25) Purging py3-gpep517 (15-r1) (6/25) Purging py3-wheel-pyc (0.42.0-r0) (7/25) Purging py3-wheel (0.42.0-r0) (8/25) Purging py3-packaging-pyc (23.2-r0) (9/25) Purging py3-packaging (23.2-r0) (10/25) Purging py3-parsing-pyc (3.1.1-r0) (11/25) Purging py3-parsing (3.1.1-r0) (12/25) Purging py3-installer-pyc (0.7.0-r1) (13/25) Purging py3-installer (0.7.0-r1) (14/25) Purging python3-pyc (3.11.6-r1) (15/25) Purging python3-pycache-pyc0 (3.11.6-r1) (16/25) Purging pyc (3.11.6-r1) (17/25) Purging python3 (3.11.6-r1) (18/25) Purging gdbm (1.23-r1) (19/25) Purging libbz2 (1.0.8-r6) (20/25) Purging libffi (3.4.4-r3) (21/25) Purging libpanelw (6.4_p20231125-r0) (22/25) Purging mpdecimal (2.5.1-r2) (23/25) Purging readline (8.2.10-r0) (24/25) Purging sqlite-libs (3.45.0-r0) (25/25) Purging xz-libs (5.4.5-r0) Executing busybox-1.36.1-r19.trigger OK: 227 MiB in 102 packages >>> py3-litex-hub-modules: Updating the testing/riscv64 repository index... >>> py3-litex-hub-modules: Signing the index...